Showing 40 open source projects for "hdl"

View related business solutions
  • EBizCharge Payment Platform for Accounts Receivable Icon
    EBizCharge Payment Platform for Accounts Receivable

    Getting paid has never been easier.

    Don’t let unpaid invoices limit your business’s growth. EBizCharge plugs directly into the tools your business already uses to speed up payment collection.
  • Discover Multiview ERP: The Financial Management Revolution Icon
    Discover Multiview ERP: The Financial Management Revolution

    Reclaim precious moments with loved ones while our robust cloud accounting software streamlines your financial processes.

    Built for growing businesses and well-established enterprises alike, Multiview is a highly scalable and robust ERP.
  • 1
    When the first computer was invented , computers change the world. The revolution oriented from digital circuit design makes human society running faster and faster. The patent rights of digital circuit are very important properties to make fortune in commercial market. 電腦及數位科技造就第四次工業革命,高價值數位電路設計代表高科技與高利潤,所以數位電路設計成為各國專利權攻防的焦點目標 數位電路架構受專利法及著作權法保護, 請勿使用本程式模擬他人合法申請專利之數位電路架構, 本人不同意使用本程式之商業行為 The patent right of digital circuit design could possibly be under the protection of law. Abnormal...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 2
    GHDL

    GHDL

    VHDL 2008/93/87 simulator

    This directory contains the sources of GHDL, the open-source analyzer, compiler, simulator and (experimental) synthesizer for VHDL, a Hardware Description Language (HDL). GHDL is not an interpreter: it allows you to analyze and elaborate sources for generating machine code from your design. Native program execution is the only way for high-speed simulation. Full support for the 1987, 1993, 2002 versions of the IEEE 1076 VHDL standard, and partial for the 2008 and 2019 revisions. By using a code...
    Downloads: 9 This Week
    Last Update:
    See Project
  • 3
    Icarus Verilog is an open source Verilog compiler that supports the IEEE-1364 Verilog HDL including IEEE1364-2005 plus extensions.
    Leader badge
    Downloads: 178 This Week
    Last Update:
    See Project
  • 4
    Kactus2

    Kactus2

    Kactus2 is a graphical EDA tool based on the IP-XACT standard.

    Kactus2 is a toolset for IP-XACT based SoC design and provides packaging, integration and configuration of HW and SW components, plus register design and HDL import and generation. The source code is hosted at https://github.com/kactus2/kactus2dev. An example IP library is available at https://github.com/kactus2/ipxactexamplelib Video tutorials are available at https://www.youtube.com/user/Kactus2Tutorial Issue tracker is available at https://github.com/kactus2/kactus2dev/issues...
    Leader badge
    Downloads: 22 This Week
    Last Update:
    See Project
  • Multi-Site Network and Cloud Connectivity for Businesses Icon
    Multi-Site Network and Cloud Connectivity for Businesses

    Internet connectivity without complexity

    As your users rely more and more on Cloud and Internet-based technologies, reliable internet connectivity becomes more and more important to your business. With Bigleaf’s proven SD-WAN architecture, groundbreaking AI, and DDoS attack mitigation, you can finally deliver the reliable internet connectivity your business needs without the limitations of traditional networking platforms. Bigleaf’s Cloud Access Network and plug-and-play router allow for limitless control to and from anywhere your traffic needs to go. Bigleaf’s self-driving AI automatically identifies and adapts to any changing circuit conditions and traffic needs—addressing issues before they impact your users. Bigleaf puts you in the driver’s seat of every complaint and support call with full-path traffic and network performance data, delivered as actionable insights, reports, and alerts.
  • 5
    XSCHEM

    XSCHEM

    Schematic circuit editor for VLSI and Mixed mode circuit simulation.

    Xschem is a schematic capture program, it allows to create a hierarchical representation of circuits with a top down approach . By focusing on interconnections, hierarchy and properties a complex system (IC) can be described in terms of simpler building blocks. A VHDL, Verilog or Spice netlist can be generated from the drawn schematic, allowing the simulation of the circuit. Key feature of the program is its drawing engine written in C and using directly the Xlib drawing primitives; this...
    Downloads: 15 This Week
    Last Update:
    See Project
  • 6
    UMHDL

    UMHDL

    Integrated Development Environment (IDE) for learning HDL

    UMHDL is an educational Integrated Development Environment (IDE) intended for learning digital designing with programmable logic devices using Hardware Description Languages (HDL) through simulation. It is an open-source application created at the Miguel Hernández University (UMH). The aim for the UMHDL development was to have a graphical application that allows learning the VHDL language without licensing restrictions (using some existing open-source tools) and requiring few resources. So...
    Downloads: 2 This Week
    Last Update:
    See Project
  • 7
    HDL Checker

    HDL Checker

    Repurposing existing HDL tools to help writing better code

    HDL Checker is a language server that wraps VHDL/Verilg/SystemVerilog tools that aims to reduce the boilerplate code needed to set things up. It supports Language Server Protocol or a custom HTTP interface; can infer the library VHDL files likely to belong to, besides working out mixed language dependencies, compilation order, interpreting some compiler messages and providing some (limited) static checks. Notice that currently, the unused reports has caveats, namely declarations with the same...
    Downloads: 2 This Week
    Last Update:
    See Project
  • 8
    Approximate Recursive Multipliers
    We provide an open-source library of approximate recursive multipliers described using Verilog HDL. In case of usage please refer to: H. Waris, C. Wang, C. Xu and W. Liu, "AxRMs: Approximate Recursive Multipliers using High-Performance Building Blocks," in IEEE Transactions on Emerging Topics in Computing, doi: 10.1109/TETC.2021.3096515.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 9
    Approximate Arithmetic Library
    We provide an open-source library of approximate arithmetic modules (adders and multipliers) described using Verilog HDL. In case of usage please refer to: H. Waris, C. Wang, W. Liu, J. Han and F. Lombardi, "Hybrid Partial Product-based High-Performance Approximate Recursive Multipliers," in IEEE Transactions on Emerging Topics in Computing, doi: 10.1109/TETC.2020.3013977.
    Downloads: 0 This Week
    Last Update:
    See Project
  • Automated RMM Tools | RMM Software Icon
    Automated RMM Tools | RMM Software

    Proactively monitor, manage, and support client networks with ConnectWise Automate

    Out-of-the-box scripts. Around-the-clock monitoring. Unmatched automation capabilities. Start doing more with less and exceed service delivery expectations.
  • 10
    Approximate Solution Finder

    Approximate Solution Finder

    An open-source approximate logic design tool

    We provide an open-source library of approximate multipliers described using Verilog HDL. The article related to the library is currently under review.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 11
    Software and HDL code for Elphel reconfigurable network cameras
    Downloads: 0 This Week
    Last Update:
    See Project
  • 12

    scFvMiner

    Scripts for analysing NGS data

    These scripts written in java can be used for deep sequencing analysis of the scFv antibodies from a synthetic antibody library and yields complete sequence information on the randomized areas of antibodies enriched from the library by phage display. The methods are descriped in Lövgen, J., Pursiheimo, J.P., Pyykkö, M., Salmi, J. & Lamminmäki, U. (2016) Next generation sequencing of all variable loops of synthetic single framework scFv – application in anti-HDL antibody selections. New...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 13
    Qfsm

    Qfsm

    A graphical Finite State Machine (FSM) designer.

    A graphical tool for designing finite state machines and exporting them to Hardware Description Languages, such as VHDL, AHDL, Verilog, or Ragel/SMC files for C, C++, Objective-C, Java, Python, PHP, Perl, Lua code generation.
    Leader badge
    Downloads: 34 This Week
    Last Update:
    See Project
  • 14
    Synthesijer
    THIS SITE IS NO LONGER ACTIVELY MAINTAINED, FOR RECENT RELEASES, PLEASE GO TO: http://synthesijer.github.io/web/dl/ For more information, please go to: http://synthesijer.github.io/web/ Synthesijer is a high-level synthesis tool, which generates HDL files from Java code. Synthesijer also provides a backend to generate VHDL/Verilog HDL, which helps to develop high-level synthesis tools and DSLs.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 15
    FSMDesigner
    FSMDesigner is a C++ based implementation for a Finite State Machine (FSM) design tool with integrated Hardware Description Language (HDL) generation. FSMDesigner4 uses the Simple-Moore FSM model guaranteeing efficient fast complex control circuits.
    Downloads: 4 This Week
    Last Update:
    See Project
  • 16
    vHDL Obfuscator GUI

    vHDL Obfuscator GUI

    vHDL Obfuscator is an small GUI to obfuscate and reformat HDL files

    VHDL and Verilog HDL are standards languages for hardware description. Sometimes is necessary to share the source HDL file but maintaining a little level of control and protection of the intellectual property. This tool generate obfuscated code that is almost unreadable to humans, but is still readable to compilers and simulators. This tool use GHDL (https://sourceforge.net/projects/ghdl-updates/), HDLObf (https://sourceforge.net/projects/hdlobf/), Icarus Verilog (https://sourceforge.net...
    Downloads: 4 This Week
    Last Update:
    See Project
  • 17

    MatlabSimulink2CPP

    Demo of Simulink to C++ C or HDL FGA for HFT potential

    Video and files download for Visual trading idea to C++ or FPGA HFT Meetup File download sample: test model (Matlab 2014b with Visual Studio 2013 C++ project generated) Powerpoint MATLAB SIMULINK http://quantlabs.net/blog/2015/04/video-and-files-download-for-visual-trading-idea-to-c-or-fpga-hft-meetup/
    Downloads: 0 This Week
    Last Update:
    See Project
  • 18
    Spadger is a MIPS based CPU project which implements with verilog HDL language.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 19
    Matlab Algorithm To C or C++

    Matlab Algorithm To C or C++

    Matlab Mupad algo demos to C and C++

    A demo with Matlab Mupad with an Options Call and Put algos converted to various C and C++ projects. You could also use Simulink for even FPGA deployment via HDL for ultra lowest high frequency trading
    Downloads: 0 This Week
    Last Update:
    See Project
  • 20
    PHDL

    PHDL

    An HDL alternative to PCB graphical schematic capture tools.

    PHDL is an HDL that functions as an alternative to mainstream graphical schematic capture tools. The language is compiled into a pcb netlist which can then be imported into a layout tool. We are currently on version 2.1 of the tool. We have created an eclipse plugin version of the tool as well as a standalone command-line based version. Both function identically and output a netlist that can be imported into a pcb layout tool. VHDL revolutionized how FPGA designs and digital logic circuits...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 21
    JavaRock is a project to develop a compiler from java to vhdl, which enables hardware design by java. Developping JavaRock is over, and the project continues in Synthesijer http://synthesijer.sourceforge.net . Like JavaRock, Synthesijer also aims to develop a compiler from Java to VHDL, which enables hardware design by Java. In addition, Synthesijer generates Verilog HDL and aims to implement advanced features such as optimization, graphical tools, and so on.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 22
    Open RVC-CAL to HDL (ORC2HDL) is an Eclipse Plugin which uses the Open RVC-CAL Compiler (ORCC) and the openForge HDL Synthesizer. This plugin gives the ability to generate HDL code from a RVC-CAL model.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 23
    HDL Analyzer and Netlist Architect (HANA): An open source analysis and synthesis tool for design written in Verilog 2001 HDL
    Downloads: 0 This Week
    Last Update:
    See Project
  • 24

    VPreproc

    C++ Verilog macro preprocessor

    This is a standalone preprocessor for the Verilog HDL language. It is modified from the Verilog-PreProcessor of Verilog Perl tool 3.314. Most of the code is written by the team led by Wilson Snyder. What I have done in this project: * Provide a standalone command line interface (without Perl). * Replace the parts implemented in Perl to C++. * Encapsulate the package in a separated namespace for better independence. What I may do in the future: * Replace the C language features to C...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 25
    This is a Viterbi HDL Code Generator (VHCG). It can generate the Verilog HDL codes of some kind of Viterbi Decoder which is scalable and parameterized. In-place-state-metric-storage is used in these decoders. I purpose that it can reduce repeated works i
    Downloads: 0 This Week
    Last Update:
    See Project
  • Previous
  • You're on page 1
  • 2
  • Next