Showing 59 open source projects for "hdl"

View related business solutions
  • Achieve perfect load balancing with a flexible Open Source Load Balancer Icon
    Achieve perfect load balancing with a flexible Open Source Load Balancer

    Take advantage of Open Source Load Balancer to elevate your business security and IT infrastructure with a custom ADC Solution.

    Boost application security and continuity with SKUDONET ADC, our Open Source Load Balancer, that maximizes IT infrastructure flexibility. Additionally, save up to $470 K per incident with AI and SKUDONET solutions, further enhancing your organization’s risk management and cost-efficiency strategies.
  • Top-Rated Free CRM Software Icon
    Top-Rated Free CRM Software

    216,000+ customers in over 135 countries grow their businesses with HubSpot

    HubSpot is an AI-powered customer platform with all the software, integrations, and resources you need to connect your marketing, sales, and customer service. HubSpot's connected platform enables you to grow your business faster by focusing on what matters most: your customers.
  • 1
    When the first computer was invented , computers change the world. The revolution oriented from digital circuit design makes human society running faster and faster. The patent rights of digital circuit are very important properties to make fortune in commercial market. 電腦及數位科技造就第四次工業革命,高價值數位電路設計代表高科技與高利潤,所以數位電路設計成為各國專利權攻防的焦點目標 數位電路架構受專利法及著作權法保護, 請勿使用本程式模擬他人合法申請專利之數位電路架構, 本人不同意使用本程式之商業行為 The patent right of digital circuit design could possibly be under the protection of law. Abnormal...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 2
    GHDL

    GHDL

    VHDL 2008/93/87 simulator

    This directory contains the sources of GHDL, the open-source analyzer, compiler, simulator and (experimental) synthesizer for VHDL, a Hardware Description Language (HDL). GHDL is not an interpreter: it allows you to analyze and elaborate sources for generating machine code from your design. Native program execution is the only way for high-speed simulation. Full support for the 1987, 1993, 2002 versions of the IEEE 1076 VHDL standard, and partial for the 2008 and 2019 revisions. By using a code...
    Downloads: 9 This Week
    Last Update:
    See Project
  • 3
    Platform for advanced open source IP-Core development, i. e. dynamic memory controllers for FPGAs.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 4
    Icarus Verilog is an open source Verilog compiler that supports the IEEE-1364 Verilog HDL including IEEE1364-2005 plus extensions.
    Leader badge
    Downloads: 178 This Week
    Last Update:
    See Project
  • Cyber Risk Assessment and Management Platform Icon
    Cyber Risk Assessment and Management Platform

    ConnectWise Identify is a powerful cybersecurity risk assessment platform offering strategic cybersecurity assessments and recommendations.

    When it comes to cybersecurity, what your clients don’t know can really hurt them. And believe it or not, keep them safe starts with asking questions. With ConnectWise Identify Assessment, get access to risk assessment backed by the NIST Cybersecurity Framework to uncover risks across your client’s entire business, not just their networks. With a clearly defined, easy-to-read risk report in hand, you can start having meaningful security conversations that can get you on the path of keeping your clients protected from every angle. Choose from two assessment levels to cover every client’s need, from the Essentials to cover the basics to our Comprehensive Assessment to dive deeper to uncover additional risks. Our intuitive heat map shows you your client’s overall risk level and priority to address risks based on probability and financial impact. Each report includes remediation recommendations to help you create a revenue-generating action plan.
  • 5
    Kactus2

    Kactus2

    Kactus2 is a graphical EDA tool based on the IP-XACT standard.

    Kactus2 is a toolset for IP-XACT based SoC design and provides packaging, integration and configuration of HW and SW components, plus register design and HDL import and generation. The source code is hosted at https://github.com/kactus2/kactus2dev. An example IP library is available at https://github.com/kactus2/ipxactexamplelib Video tutorials are available at https://www.youtube.com/user/Kactus2Tutorial Issue tracker is available at https://github.com/kactus2/kactus2dev/issues...
    Leader badge
    Downloads: 22 This Week
    Last Update:
    See Project
  • 6
    XSCHEM

    XSCHEM

    Schematic circuit editor for VLSI and Mixed mode circuit simulation.

    Xschem is a schematic capture program, it allows to create a hierarchical representation of circuits with a top down approach . By focusing on interconnections, hierarchy and properties a complex system (IC) can be described in terms of simpler building blocks. A VHDL, Verilog or Spice netlist can be generated from the drawn schematic, allowing the simulation of the circuit. Key feature of the program is its drawing engine written in C and using directly the Xlib drawing primitives; this...
    Downloads: 15 This Week
    Last Update:
    See Project
  • 7
    UMHDL

    UMHDL

    Integrated Development Environment (IDE) for learning HDL

    UMHDL is an educational Integrated Development Environment (IDE) intended for learning digital designing with programmable logic devices using Hardware Description Languages (HDL) through simulation. It is an open-source application created at the Miguel Hernández University (UMH). The aim for the UMHDL development was to have a graphical application that allows learning the VHDL language without licensing restrictions (using some existing open-source tools) and requiring few resources. So...
    Downloads: 2 This Week
    Last Update:
    See Project
  • 8
    HDL Checker

    HDL Checker

    Repurposing existing HDL tools to help writing better code

    HDL Checker is a language server that wraps VHDL/Verilg/SystemVerilog tools that aims to reduce the boilerplate code needed to set things up. It supports Language Server Protocol or a custom HTTP interface; can infer the library VHDL files likely to belong to, besides working out mixed language dependencies, compilation order, interpreting some compiler messages and providing some (limited) static checks. Notice that currently, the unused reports has caveats, namely declarations with the same...
    Downloads: 2 This Week
    Last Update:
    See Project
  • 9
    Approximate Recursive Multipliers
    We provide an open-source library of approximate recursive multipliers described using Verilog HDL. In case of usage please refer to: H. Waris, C. Wang, C. Xu and W. Liu, "AxRMs: Approximate Recursive Multipliers using High-Performance Building Blocks," in IEEE Transactions on Emerging Topics in Computing, doi: 10.1109/TETC.2021.3096515.
    Downloads: 0 This Week
    Last Update:
    See Project
  • Finance Automation that puts you in charge Icon
    Finance Automation that puts you in charge

    Tipalti delivers smart payables that elevate modern business.

    Our robust pre-built connectors and our no-code, drag-and-drop interface makes it easy and fast to automatically sync vendors, invoices, and invoice payment data between Tipalti and your ERP or accounting software.
  • 10
    Approximate Arithmetic Library
    We provide an open-source library of approximate arithmetic modules (adders and multipliers) described using Verilog HDL. In case of usage please refer to: H. Waris, C. Wang, W. Liu, J. Han and F. Lombardi, "Hybrid Partial Product-based High-Performance Approximate Recursive Multipliers," in IEEE Transactions on Emerging Topics in Computing, doi: 10.1109/TETC.2020.3013977.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 11
    Approximate Solution Finder

    Approximate Solution Finder

    An open-source approximate logic design tool

    We provide an open-source library of approximate multipliers described using Verilog HDL. The article related to the library is currently under review.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 12
    Software and HDL code for Elphel reconfigurable network cameras
    Downloads: 0 This Week
    Last Update:
    See Project
  • 13

    ABSYNTH

    ABSYNTH a generator of microprogram control units in HDL

    Downloads: 0 This Week
    Last Update:
    See Project
  • 14
    Integrated Circuit Design Software that quickly automates design of analog and digital circuits for use in schematics, device modelling, design re-use, architecture, signal processing and IC manufacture.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 15

    scFvMiner

    Scripts for analysing NGS data

    These scripts written in java can be used for deep sequencing analysis of the scFv antibodies from a synthetic antibody library and yields complete sequence information on the randomized areas of antibodies enriched from the library by phage display. The methods are descriped in Lövgen, J., Pursiheimo, J.P., Pyykkö, M., Salmi, J. & Lamminmäki, U. (2016) Next generation sequencing of all variable loops of synthetic single framework scFv – application in anti-HDL antibody selections. New...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 16

    Emulator of EPOS-73

    The behavioral model of the old Soviet calculator EPOS-73

    This project introduces a console application designed for functional and behavioral emulation of the old Soviet calculator EPOS-73 (ЭПОС-73) also known as Elektronika B3-11 (Электроника Б3-11). The project is conceived as an auxiliary for verification of the switch-level simulator based on Verilog HDL of the said apparatus. Calculator model EPOS-73 is interesting in that it was one of the first models of Soviet computing performed at LSI, that were fully designed by Soviet engineers...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 17
    Qfsm

    Qfsm

    A graphical Finite State Machine (FSM) designer.

    A graphical tool for designing finite state machines and exporting them to Hardware Description Languages, such as VHDL, AHDL, Verilog, or Ragel/SMC files for C, C++, Objective-C, Java, Python, PHP, Perl, Lua code generation.
    Leader badge
    Downloads: 34 This Week
    Last Update:
    See Project
  • 18
    Synthesijer
    THIS SITE IS NO LONGER ACTIVELY MAINTAINED, FOR RECENT RELEASES, PLEASE GO TO: http://synthesijer.github.io/web/dl/ For more information, please go to: http://synthesijer.github.io/web/ Synthesijer is a high-level synthesis tool, which generates HDL files from Java code. Synthesijer also provides a backend to generate VHDL/Verilog HDL, which helps to develop high-level synthesis tools and DSLs.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 19
    FSMDesigner
    FSMDesigner is a C++ based implementation for a Finite State Machine (FSM) design tool with integrated Hardware Description Language (HDL) generation. FSMDesigner4 uses the Simple-Moore FSM model guaranteeing efficient fast complex control circuits.
    Downloads: 4 This Week
    Last Update:
    See Project
  • 20
    vHDL Obfuscator GUI

    vHDL Obfuscator GUI

    vHDL Obfuscator is an small GUI to obfuscate and reformat HDL files

    VHDL and Verilog HDL are standards languages for hardware description. Sometimes is necessary to share the source HDL file but maintaining a little level of control and protection of the intellectual property. This tool generate obfuscated code that is almost unreadable to humans, but is still readable to compilers and simulators. This tool use GHDL (https://sourceforge.net/projects/ghdl-updates/), HDLObf (https://sourceforge.net/projects/hdlobf/), Icarus Verilog (https://sourceforge.net...
    Downloads: 4 This Week
    Last Update:
    See Project
  • 21

    PipeFitter

    Synthesis from Verilog HDL to asynchronous micropipelines

    Downloads: 0 This Week
    Last Update:
    See Project
  • 22

    MatlabSimulink2CPP

    Demo of Simulink to C++ C or HDL FGA for HFT potential

    Video and files download for Visual trading idea to C++ or FPGA HFT Meetup File download sample: test model (Matlab 2014b with Visual Studio 2013 C++ project generated) Powerpoint MATLAB SIMULINK http://quantlabs.net/blog/2015/04/video-and-files-download-for-visual-trading-idea-to-c-or-fpga-hft-meetup/
    Downloads: 0 This Week
    Last Update:
    See Project
  • 23
    FLAC Decoder/Encoder

    FLAC Decoder/Encoder

    Hardware FLAC Encoder / Decoder

    FLAC (Free Lossless Audio Codec) is a Codec for Lossless Compression of digital audio. Digital audio compressed by FLAC's algorithm can typically be reduced to 50–60% of its original size and decompressed to an identical copy of the original audio data. FLAC is an open format with royalty-free licensing and a reference implementation which is free software. This project is High Performance, Low Power and High Quality FLAC Encoder and Decoder on HDL Code for FPGAs or ASICs synthesis.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 24
    Spadger is a MIPS based CPU project which implements with verilog HDL language.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 25
    Matlab Algorithm To C or C++

    Matlab Algorithm To C or C++

    Matlab Mupad algo demos to C and C++

    A demo with Matlab Mupad with an Options Call and Put algos converted to various C and C++ projects. You could also use Simulink for even FPGA deployment via HDL for ultra lowest high frequency trading
    Downloads: 0 This Week
    Last Update:
    See Project
  • Previous
  • You're on page 1
  • 2
  • 3
  • Next