Open Source Windows Electronic Design Automation (EDA) Software

Electronic Design Automation (EDA) Software for Windows

View 15 business solutions

Browse free open source Electronic Design Automation (EDA) software and projects for Windows below. Use the toggles on the left to filter open source Electronic Design Automation (EDA) software by OS, license, language, programming language, and project status.

  • Engage for Amazon Connect, the Pre-built Contact Center Platform Icon
    Engage for Amazon Connect, the Pre-built Contact Center Platform

    Utilizing the power of AWS and Generative AI, Engage provides your customers with highly personalized, exceptional experiences.

    Engage is a pre-built, intelligent contact center platform that transforms customer service.
  • Translate docs, audio, and videos in real time with Google AI Icon
    Translate docs, audio, and videos in real time with Google AI

    Make your content and apps multilingual with fast, dynamic machine translation available in thousands of language pairs.

    Google Cloud’s AI-powered APIs help you translate documents, websites, apps, audio files, videos, and more at scale with best-in-class quality and enterprise-grade control and security.
  • 1
    ngspice
    Ngspice project aims to improve the spice3f5 circuit simulator.
    Leader badge
    Downloads: 1,630 This Week
    Last Update:
    See Project
  • 2
    PICSimLab - Prog. IC Simulator Lab.

    PICSimLab - Prog. IC Simulator Lab.

    PICSimLab is a realtime emulator for PIC, Arduino, STM32, ESP32, ...

    PICSimLab is a realtime emulator of development boards with MPLABX/avr-gdb debugger integration. PICSimLab supports microcontrollers from picsim, simavr, uCsim, qemu-stm32, qemu-esp32, and gpsim. PICSimLab has integration with MPLABX/Arduino IDE for programming the microcontroller's boards. As the purpose of PICSimLab is to emulate real hardware it does not have any source code editing support. For code editing and debugging the same tools used for a real board should be used with PICSimLab, such as MPLABX, Arduino IDE, or VSCode with PlatformIO. PICSimLab supports several devices (spare parts) that can be connected to the boards for simulation. Such as example LEDs and push buttons for simple outputs and inputs and some more complex ones like the ethernet shield w5500 for internet connection or the color graphic display ili9340 with touchscreen. The complete list of parts can be accessed in the documentation. Documentation at https://lcgamboa.github.io/picsimlab_docs/stable/
    Leader badge
    Downloads: 601 This Week
    Last Update:
    See Project
  • 3
    GNUSim8085 is a simulator and assembler for the Intel 8085 Microprocessor. For downloading latest release please head to the website - https://gnusim8085.github.io/ For source code - https://github.com/GNUSim8085/GNUSim8085
    Leader badge
    Downloads: 692 This Week
    Last Update:
    See Project
  • 4
    gputils is a collection of tools for Microchip PIC microcontrollers. Its goal is to be fully compatible with Microchip's tools, MPASM, MPLINK, and MPLIB.
    Leader badge
    Downloads: 167 This Week
    Last Update:
    See Project
  • High-performance Open Source API Gateway Icon
    High-performance Open Source API Gateway

    KrakenD is a stateless, distributed, high-performance API Gateway that helps you effortlessly adopt microservices

    KrakenD is a high-performance API Gateway optimized for resource efficiency, capable of managing 70,000 requests per second on a single instance. The stateless architecture allows for straightforward, linear scalability, eliminating the need for complex coordination or database maintenance.
  • 5
    VeroRoute

    VeroRoute

    Qt based Veroboard, Perfboard, and PCB layout and routing application

    Cross-platform software for producing Veroboard (stripboard), Perfboard, and 1-layer or 2-layer PCB layouts. Automatically prevents short-circuits and checks for open-circuits. Pre-compiled versions available for MS Windows and 64-bit Linux Mint 20.3 (should also run on other 64-bit Linux systems that are based on Debian and support Qt version >= 5.12.8). Android APK available (tested on Android 7 and Android 10) and requires device resolution of at least 1280x800.
    Leader badge
    Downloads: 89 This Week
    Last Update:
    See Project
  • 6
    Qfsm

    Qfsm

    A graphical Finite State Machine (FSM) designer.

    A graphical tool for designing finite state machines and exporting them to Hardware Description Languages, such as VHDL, AHDL, Verilog, or Ragel/SMC files for C, C++, Objective-C, Java, Python, PHP, Perl, Lua code generation.
    Leader badge
    Downloads: 59 This Week
    Last Update:
    See Project
  • 7
    KiCad EDA

    KiCad EDA

    A Cross Platform and Open Source Electronics Design Automation Suite

    Leader badge
    Downloads: 207 This Week
    Last Update:
    See Project
  • 8
    Digital Logic Design

    Digital Logic Design

    Digital Circuits Design and Simulation

    DLD V 2.0 Released Digital Logic Design is a Software tool for designing and simulating digital circuits. It provides digital parts ranging from simple gates to Arithmetic Logic Unit. You may start your circuit from simple gates and flipflops and keep on converting them into ICs. These ICs, later on, may be incorporated into other circuits to built more complex circuits like CPU. You may even use SOP expressions to generate digital circuits in IC form. You can use this software to design Combinational, Synchronous and Asynchronous Sequential Circuits. The circuit working can be analyzed by using output parts like LEDs, Seven Segment Display as well as CRT and digital Oscilloscope all provided in the software. This Software may be used by professionals, hobbyists and students alike. The teachers may incorporate this software in their courses like Digital Logic and Computer Design, Computer Architecture, Computer Organization and Embedded Systems.
    Leader badge
    Downloads: 110 This Week
    Last Update:
    See Project
  • 9
    Gerber2PDF

    Gerber2PDF

    Gerber to PDF converter

    Gerber2PDF is a command-line tool to convert Gerber files to PDF for proofing and hobbyist printing purposes. It converts multiple Gerber files at once, placing the resulting layers each on it's own page within the PDF. Each layer has a PDF bookmark for easy reference. Layers can optionally be combined onto a single page and rendered with custom colours and transparency. There is a Drill to Gerber converter available from the downloads page.
    Leader badge
    Downloads: 37 This Week
    Last Update:
    See Project
  • Small Business HR Management Software Icon
    Small Business HR Management Software

    Get a unified timekeeping, scheduling, payroll, HR and benefits portal with WorkforceHub.

    WorkforceHub is the instantly useful, delightfully simple to use, small business solution for tracking time, scheduling and hiring. It scales as your business grows while delivering the mission-critical features an organization needs. It is tailored to, built for, and priced for small business employers.
  • 10
    circuitmod

    circuitmod

    The Future of the Java Circuit Simulator

    Circuitmod is a circuit simulator that extend the capacity of the original Falstad's Java Circuit Simulator into CMOS Chips, Led Arrays, Led Matrix and PIC Programming. The Horizon is limitless. Try today.
    Leader badge
    Downloads: 32 This Week
    Last Update:
    See Project
  • 11
    The DigitalSimulator is your Virtual Electronics Lab, allowing you to design, simulate and output your digital circuit board designs.
    Downloads: 65 This Week
    Last Update:
    See Project
  • 12
    FidoCadJ

    FidoCadJ

    Simple and intuitive 2D vector drawing for electronics and not only.

    A multiplatform vector drawing program with a complete library of electronic symbols. Schematics and drawings are stored in a very compact text format. There is no netlist concept behind the drawings (so no simulation, and this is a choice) but this allows a great graphical flexibility and ease of use, making FidoCadJ the perfect tool for exchange sketches in forum and newsgroup discussions with a few clicks. Drawings can be exported in several graphic formats, such as pdf. Follow the development live on Twitter: https://twitter.com/davbucci
    Downloads: 15 This Week
    Last Update:
    See Project
  • 13
    NetlistViewer

    NetlistViewer

    SPICE netlist visualizer

    Please go to https://github.com/f18m/netlist-viewer for most updated code
    Leader badge
    Downloads: 30 This Week
    Last Update:
    See Project
  • 14
    GNU SPICE GUI provides a GUI front-end for various freely available electronic circuit simulation engines ie. NG-SPICE and GNU-CAP. It's core function is to generate simulation engine instructions based on user input. However, it also offers extra functionality via applications and utilities developed by others. Electronic Design Automation (EDA) tool suites are used to provide schematic capture and editing, and schematic to netlist conversion. Waveform data viewers are used to display simulation results and PDF viewers to display user manuals.
    Leader badge
    Downloads: 29 This Week
    Last Update:
    See Project
  • 15
    Modelio-Open is a project hosting a set of open source extensions (SoaML, SysML and UML Testing Profile) for a previous version (1.2) of the Modelio Free tool . Currently, the lastest version (2.x) of Modelio modeling and generation tool is available at http://modelio.org/downloads/download-modelio.html. All extensions are downloadable at http://forge.modelio.org/projects.
    Downloads: 19 This Week
    Last Update:
    See Project
  • 16
    QSapecNG
    QSapecNG is a Qt-based symbolic analysis program for linear analog circuits. In fact, it consists of two indipendently parts: the SapecNG framework engine, and the application gui QSapecNG.
    Leader badge
    Downloads: 23 This Week
    Last Update:
    See Project
  • 17
    simutron

    simutron

    AVR simulator IDE

    Electronic circuit simulator. Simple environment to run and debug firmware for AVR 8-bit microprocessors. Able to run arduino firmware. Internally this program uses the open source Simavr AVR Processor Simulator (https://github.com/buserror/simavr) and wraps all its functions in a GUI shell. Setups for firmware debugging scenarios can be created dynamically. Able to run 16MHz MCU with decent set of external parts in real time. In particular this can be used for development of CNC firmware in conjuction with its CAM frontend without access to the real hardware. For Linux and Windows systems. Please visit wiki pages (https://sourceforge.net/p/simutron/wiki/Home/) for instructions
    Leader badge
    Downloads: 29 This Week
    Last Update:
    See Project
  • 18
    Wcalc is a tool for the analysis and synthesis of electronic components. Some of the models include coupled microstrip lines, single layer air core solenoid inductors, etc. Wcalc can analyze the electrical parameters based on the physical dimensions a
    Leader badge
    Downloads: 12 This Week
    Last Update:
    See Project
  • 19
    Kactus2

    Kactus2

    Kactus2 is a graphical EDA tool based on the IP-XACT standard.

    Kactus2 is a toolset for IP-XACT based SoC design and provides packaging, integration and configuration of HW and SW components, plus register design and HDL import and generation. The source code is hosted at https://github.com/kactus2/kactus2dev. An example IP library is available at https://github.com/kactus2/ipxactexamplelib Video tutorials are available at https://www.youtube.com/user/Kactus2Tutorial Issue tracker is available at https://github.com/kactus2/kactus2dev/issues For publications, kindly use this reference: http://joss.theoj.org/papers/73e33d6850d24f0d6aad0d5f38937f83 Contributors: Antti Kamppi, Joni-Matti Määttä, Lauri Matilainen, Timo D. Hämäläinen, Mikko Teuho, Juho Järvinen, Esko Pekkarinen, Janne Virtanen, Anton Hagqvist
    Downloads: 14 This Week
    Last Update:
    See Project
  • 20
    'atlc' is a CAD package used for analysing and desiging electrical transmission lines of arbitrary cross section. Also for the design of directonal couplers. Some parts are CPU intensive, so multiple CPUs are supported.
    Leader badge
    Downloads: 13 This Week
    Last Update:
    See Project
  • 21
    MMTL, the Multilayer Multiconductor Transmission Line 2-D and 2.5-D electromagnetic modeling tool suite, generates transmission parameters and SPICE models from descriptions of electronics interconnect dimensions and materials properties.
    Downloads: 20 This Week
    Last Update:
    See Project
  • 22
    Eclipse Verilog editor is a plugin for the Eclipse IDE. It provides Verilog(IEEE-1364) and VHDL language specific code viewer, contents outline, code assist etc. It helps coding and debugging in hardware development based on Verilog or VHDL.
    Downloads: 6 This Week
    Last Update:
    See Project
  • 23
    FreeCAD-PCB

    FreeCAD-PCB

    Import your PCB boards to FreeCAD

    [ENG] Mod FreeCAD-PCB allow you to import PCB boards to FreeCAD. Scope of mod: - support for many different layers, - possible to choose colours, transparency and names for each layer, - mod allows you to import IGES models with colours, - possible to show holes/vias independent. [PL] Moduł FreeCAD-PCB pozwala na importowanie płytek PCB do programu FreeCAD. Możliwości modułu: - wsparcie dla wielu różnych warstw, - wyświetlanie otworów, przelotek niezależnie od siebie, - możliwość wyboru koloru, przeźroczystości oraz nazwy dla poszczególnych warstw, - importowanie modeli zapisanych w formacie IGS wraz z kolorami. ***** Supported software: - Eagle (*.brd) - Razen (*.rzp) - FreePCB (*.fpc) - gEDA (*.pcb) - FidoCadJ (*.fcd) - KiCad (*.kicad_pcb) - IDF v2/v3 Requirements: FreeCAD >= 0.14 Project forum: https://sourceforge.net/p/eaglepcb2freecad/forum/
    Leader badge
    Downloads: 6 This Week
    Last Update:
    See Project
  • 24
    The Boolean Expression Reducer provides the user with various tools to visualize and analyze boolean expressions. Given an expression, it also reduces it to its Sum of Products and Product of Sums form.
    Downloads: 25 This Week
    Last Update:
    See Project
  • 25

    Circuit Sandbox

    Visually build and simulate boolean logic circuits

    Visually build boolean logic circuits and then simulate their operation. Create custom components from user-designed circuits. Written in Java for cross-platform functionality. NOTE: This project has moved to Bitbucket at http://bitbucket.org/kwellwood/circuitsandbox
    Downloads: 13 This Week
    Last Update:
    See Project
  • Previous
  • You're on page 1
  • 2
  • 3
  • 4
  • 5
  • Next