Verilator converts synthesizable Verilog HDL modules into SystemC modules. This enables users with Verilog code to have a publicly available co-simulation environment. For all information, see http://www.veripool.com/verilator.html.

Project Activity

See All Activity >

License

Artistic License, GNU General Public License version 2.0 (GPLv2)

Follow Verilator

Verilator Web Site

You Might Also Like
Shift, the browser that merges all of your web apps into one powerful window. Icon
Streamline everything you do online when you install Shift and access thousands of apps without leaving your browser. Connect all of your Gmail, Outlook, and Office 365 accounts and manage everything from one centralized window. Build out your Shift browser with apps that integrate seamlessly so you have ultra-fast access to all the tools you use to stream, shop, work, browse, and stay connected. Shift brings it all together.
Rate This Project
Login To Rate This Project

User Reviews

Be the first to post a review of Verilator!

Additional Project Details

Operating Systems

Linux, BSD, Windows

Languages

English

Intended Audience

Developers, End Users/Desktop

Programming Language

Perl, C++

Related Categories

Perl Compilers, Perl Electronic Design Automation (EDA) Software, C++ Compilers, C++ Electronic Design Automation (EDA) Software

Registered

2001-11-20