You can subscribe to this list here.
| 2008 |
Jan
(98) |
Feb
(33) |
Mar
(60) |
Apr
(126) |
May
(186) |
Jun
(65) |
Jul
(19) |
Aug
(95) |
Sep
(86) |
Oct
(81) |
Nov
(46) |
Dec
(87) |
|---|---|---|---|---|---|---|---|---|---|---|---|---|
| 2009 |
Jan
(47) |
Feb
(79) |
Mar
(138) |
Apr
(44) |
May
(113) |
Jun
(133) |
Jul
(59) |
Aug
(84) |
Sep
(87) |
Oct
(65) |
Nov
(51) |
Dec
(141) |
| 2010 |
Jan
(63) |
Feb
(22) |
Mar
(28) |
Apr
(41) |
May
(59) |
Jun
(18) |
Jul
(7) |
Aug
(11) |
Sep
(85) |
Oct
(28) |
Nov
(51) |
Dec
(16) |
| 2011 |
Jan
(29) |
Feb
(35) |
Mar
(65) |
Apr
(106) |
May
(58) |
Jun
(8) |
Jul
(34) |
Aug
(52) |
Sep
(15) |
Oct
(32) |
Nov
(81) |
Dec
(69) |
| 2012 |
Jan
(50) |
Feb
(18) |
Mar
(47) |
Apr
(21) |
May
(12) |
Jun
(27) |
Jul
(4) |
Aug
(31) |
Sep
(15) |
Oct
(31) |
Nov
(2) |
Dec
(13) |
| 2013 |
Jan
(6) |
Feb
(1) |
Mar
(4) |
Apr
(7) |
May
(30) |
Jun
(7) |
Jul
(53) |
Aug
(60) |
Sep
(30) |
Oct
(38) |
Nov
(20) |
Dec
(12) |
| 2014 |
Jan
(8) |
Feb
(21) |
Mar
(15) |
Apr
(13) |
May
(1) |
Jun
(5) |
Jul
(23) |
Aug
(57) |
Sep
(7) |
Oct
(9) |
Nov
(32) |
Dec
(45) |
| 2015 |
Jan
(35) |
Feb
(16) |
Mar
(29) |
Apr
(20) |
May
(55) |
Jun
(37) |
Jul
(5) |
Aug
(25) |
Sep
(2) |
Oct
(3) |
Nov
(6) |
Dec
(8) |
| 2016 |
Jan
(23) |
Feb
(15) |
Mar
(39) |
Apr
(9) |
May
(4) |
Jun
(11) |
Jul
(5) |
Aug
(1) |
Sep
(1) |
Oct
(3) |
Nov
(12) |
Dec
(1) |
| 2017 |
Jan
(1) |
Feb
(4) |
Mar
(7) |
Apr
(3) |
May
|
Jun
|
Jul
|
Aug
|
Sep
(4) |
Oct
(13) |
Nov
(6) |
Dec
(4) |
| 2018 |
Jan
(26) |
Feb
(4) |
Mar
(5) |
Apr
(6) |
May
(1) |
Jun
(2) |
Jul
(9) |
Aug
|
Sep
(1) |
Oct
(5) |
Nov
|
Dec
(1) |
| 2019 |
Jan
(8) |
Feb
|
Mar
(6) |
Apr
|
May
|
Jun
(6) |
Jul
|
Aug
(40) |
Sep
(7) |
Oct
(23) |
Nov
(16) |
Dec
(8) |
| 2020 |
Jan
(3) |
Feb
(15) |
Mar
|
Apr
|
May
(27) |
Jun
(7) |
Jul
(2) |
Aug
(9) |
Sep
(32) |
Oct
(23) |
Nov
(6) |
Dec
(3) |
| 2021 |
Jan
(10) |
Feb
(1) |
Mar
(4) |
Apr
|
May
|
Jun
(2) |
Jul
|
Aug
|
Sep
|
Oct
|
Nov
|
Dec
|
| 2022 |
Jan
(3) |
Feb
|
Mar
|
Apr
(2) |
May
|
Jun
|
Jul
|
Aug
|
Sep
|
Oct
|
Nov
|
Dec
|
| 2023 |
Jan
(2) |
Feb
|
Mar
(4) |
Apr
|
May
|
Jun
|
Jul
|
Aug
|
Sep
|
Oct
|
Nov
|
Dec
|
|
From: Martin W. <mai...@ma...> - 2016-03-08 20:56:27
|
This pull has also introduced a number of shadow warnings, e.g.
g++ -I. -I.. -I../../source/vhdlpp -I../../source/vhdlpp/.. -I../../source/vhdlpp/../libmisc
-DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -MD -c ../../source/vhdlpp/main.cc -o main.o
In file included from ../../source/vhdlpp/std_funcs.h:23:0,
from ../../source/vhdlpp/main.cc:79:
../../source/vhdlpp/subprogram.h: In constructor
SubprogramStdHeader::SubprogramStdHeader(perm_string, std::list<InterfacePort*>*, const VType*):
../../source/vhdlpp/subprogram.h:150:40: warning: declaration of name shadows a member of 'this'
[-Wshadow]
const VType*return_type) :
|
|
From: Maciej S. <mac...@ce...> - 2016-03-08 08:52:49
|
Yes, I had to change the tests. The reason is, now variables are emitted in corresponding process scopes, so there is no direct access to them from another module and these tests relied on that (i.e. I cannot check 'if(dut.variable == 42)' anymore). I will push the changes to the test repository soon. Regards, Orson On 03/07/2016 06:20 PM, Stephen Williams wrote: > > > This pull seems to have created a few new regressoins in > VHDL code. These fail now. Are there ivtest changes that > you need to push? > > > steve@icarus:~/icarus/eda/ivtest> diff regression_report-devel.txt > regression_report.txt > 2089c2089 > < vhdl_string_lim: Passed. > --- >> vhdl_string_lim: ==> Failed - running iverilog. > 2100c2100 > < vhdl_textio_read: Passed. > --- >> vhdl_textio_read: ==> Failed - running iverilog. > 2115c2115 > < vhdl_while: Passed. > --- >> vhdl_while: ==> Failed - running iverilog. > 2192c2192 > < Total=2187, Passed=2181, Failed=6, Not Implemented=0, Expected Fail=0 > --- >> Total=2187, Passed=2178, Failed=9, Not Implemented=0, Expected >> Fail=0 > > > On 03/07/2016 08:39 AM, Maciej Sumiński wrote: >> Hi, > >> There is a new branch [1] that contains a few fixes for vhdlpp and >> one for ivl. There are also tests to support the introduced changes >> [2]. > >> Again, it would be great if you could have a look at the ivl >> related commit [3]. When I executed a certain test [4], it seemed >> to me that slices were not correctly computed in a few cases. It >> should be fixed now. > >> The new pull request includes the previous one, so I have canceled >> the old request. > >> Regards, Orson > >> 1. https://github.com/steveicarus/iverilog/pull/92 2. >> https://github.com/orsonmmz/ivtest/tree/vhdlpp_fixes_test 3. >> https://github.com/orsonmmz/iverilog/commit/de775975e8d846c2a591a7889dd26c96b76acbc3 > > > 4. >> https://github.com/orsonmmz/ivtest/blob/b926f97f9b1ece5d8a09a9be6fd4b33407c67d59/ivltests/array_packed_2d.v > > > |
|
From: Stephen W. <st...@ic...> - 2016-03-07 17:20:32
|
-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA1 This pull seems to have created a few new regressoins in VHDL code. These fail now. Are there ivtest changes that you need to push? steve@icarus:~/icarus/eda/ivtest> diff regression_report-devel.txt regression_report.txt 2089c2089 < vhdl_string_lim: Passed. - --- > vhdl_string_lim: ==> Failed - running iverilog. 2100c2100 < vhdl_textio_read: Passed. - --- > vhdl_textio_read: ==> Failed - running iverilog. 2115c2115 < vhdl_while: Passed. - --- > vhdl_while: ==> Failed - running iverilog. 2192c2192 < Total=2187, Passed=2181, Failed=6, Not Implemented=0, Expected Fail=0 - --- > Total=2187, Passed=2178, Failed=9, Not Implemented=0, Expected > Fail=0 On 03/07/2016 08:39 AM, Maciej Sumiński wrote: > Hi, > > There is a new branch [1] that contains a few fixes for vhdlpp and > one for ivl. There are also tests to support the introduced changes > [2]. > > Again, it would be great if you could have a look at the ivl > related commit [3]. When I executed a certain test [4], it seemed > to me that slices were not correctly computed in a few cases. It > should be fixed now. > > The new pull request includes the previous one, so I have canceled > the old request. > > Regards, Orson > > 1. https://github.com/steveicarus/iverilog/pull/92 2. > https://github.com/orsonmmz/ivtest/tree/vhdlpp_fixes_test 3. > https://github.com/orsonmmz/iverilog/commit/de775975e8d846c2a591a7889dd26c96b76acbc3 > > 4. > https://github.com/orsonmmz/ivtest/blob/b926f97f9b1ece5d8a09a9be6fd4b33407c67d59/ivltests/array_packed_2d.v > > - -- Steve Williams "The woods are lovely, dark and deep. steve at icarus.com But I have promises to keep, http://www.icarus.com and lines to code before I sleep, http://www.picturel.com And lines to code before I sleep." -----BEGIN PGP SIGNATURE----- Version: GnuPG v2 iEYEARECAAYFAlbduFcACgkQrPt1Sc2b3ilrAQCgupDe1BoNH8kZz6giaSphmBHO 6HcAoLkQuSgEglqtpeHG1TtEarkR+gUt =igHT -----END PGP SIGNATURE----- |
|
From: Maciej S. <mac...@ce...> - 2016-03-07 16:39:42
|
Hi, There is a new branch [1] that contains a few fixes for vhdlpp and one for ivl. There are also tests to support the introduced changes [2]. Again, it would be great if you could have a look at the ivl related commit [3]. When I executed a certain test [4], it seemed to me that slices were not correctly computed in a few cases. It should be fixed now. The new pull request includes the previous one, so I have canceled the old request. Regards, Orson 1. https://github.com/steveicarus/iverilog/pull/92 2. https://github.com/orsonmmz/ivtest/tree/vhdlpp_fixes_test 3. https://github.com/orsonmmz/iverilog/commit/de775975e8d846c2a591a7889dd26c96b76acbc3 4. https://github.com/orsonmmz/ivtest/blob/b926f97f9b1ece5d8a09a9be6fd4b33407c67d59/ivltests/array_packed_2d.v |
|
From: al d. <ad...@fr...> - 2016-02-29 21:32:09
|
Gnucap will again be participating in the Google Summer of Code, as part of the GNU project. I am posting to the icarus list in hopes of some joint projects, especially a wrapper to enable Icarus Verilog to generate device plugins for gnucap. Most Gnucap projects involve developing plugins or companion programs. Here are some links to find out more about it: http://gnucap.org/dokuwiki/doku.php?id=gnucap:projects http://www.gnu.org/software/soc-projects/guidelines.html https://summerofcode.withgoogle.com/ The application window is March 14-25. Accepted proposals will be announced April 22. If your project is approved for funding, we expect nearly full- time effort, as you would for a co-op job or internship, from May 23 to August 23. |
|
From: Dan M. <dan...@gm...> - 2016-02-25 13:35:48
|
Awesome, thanks! I haven't had time for fun stuff lately but will check it out when I get a chance. On Tue, Feb 23, 2016 at 4:12 PM, Martin Whitaker <mai...@ma...> wrote: > Martin Whitaker wrote: >> Martin Whitaker wrote: >>> Yes, running with the -deval_tree debugging option shows that all the SV >>> merged operator/assignments (++, --, >>=) don't work in a constant context. >>> >> I've pushed a fix for this to both the devel and v10 branches. I've tested >> basic operation, but not explored mixing types, sizes, part selects, etc. Not >> currently supported are: >> >> - assignment to concatenations >> - increment/decrement operators inside expressions >> >> Operation on real operands is implemented but not tested, because tgt-vvp >> doesn't currently support this either. > > I've now added the missing functionality to tgt-vvp and fixed a few other bugs > in this area. > > > ------------------------------------------------------------------------------ > Site24x7 APM Insight: Get Deep Visibility into Application Performance > APM + Mobile APM + RUM: Monitor 3 App instances at just $35/Month > Monitor end-to-end web transactions and take corrective actions now > Troubleshoot faster and improve end-user experience. Signup Now! > http://pubads.g.doubleclick.net/gampad/clk?id=272487151&iu=/4140 > _______________________________________________ > Iverilog-devel mailing list > Ive...@li... > https://lists.sourceforge.net/lists/listinfo/iverilog-devel |
|
From: Stephen W. <st...@ic...> - 2016-02-24 16:14:47
|
-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA1 Thanks, I'll take a look and merge it as soon as I can. (Busy day today.) On 02/24/2016 07:46 AM, Maciej Sumiński wrote: > On 02/20/2016 03:00 AM, Stephen Williams wrote: >> On 02/15/2016 08:29 AM, Maciej Sumiński wrote: >>> It would be great if the ivl & vvp changes were reviewed more >>> carefully. recv_vec4_pv() seemed suspiciously simple, and I am >>> afraid I might have missed something important there. >> >> You did! What you missed is that those methods should be >> implemented in the derived classes, and not the vvp_net_fun_t >> class itself. The vvp_net_fun_t is used kinda like an abstract >> base class. > > Thank you for the notice. I have already fixed it and rebased the > branch. > > I am still not sure about the semantics though. It works for me, > and there is a test [1] that demonstrates what I was trying to > achieve, but I do not know if it covers all possible cases. > > Regards, Orson > > 1. > https://github.com/orsonmmz/ivtest/commit/bf46c7d1eaddeec88a0c21a6208a2cd3a51b85d1 > > - -- Steve Williams "The woods are lovely, dark and deep. steve at icarus.com But I have promises to keep, http://www.icarus.com and lines to code before I sleep, http://www.picturel.com And lines to code before I sleep." -----BEGIN PGP SIGNATURE----- Version: GnuPG v2 iEYEARECAAYFAlbN1u4ACgkQrPt1Sc2b3ik8GACgk5CHibXMWIFvY4vZPmcftj84 dkgAniRtGIel5YIsYf8Zg9g/D0oX4NEJ =Ir0E -----END PGP SIGNATURE----- |
|
From: Maciej S. <mac...@ce...> - 2016-02-24 16:02:23
|
On 02/20/2016 03:00 AM, Stephen Williams wrote: > On 02/15/2016 08:29 AM, Maciej Sumiński wrote: >> It would be great if the ivl & vvp changes were reviewed more >> carefully. recv_vec4_pv() seemed suspiciously simple, and I am >> afraid I might have missed something important there. > > You did! What you missed is that those methods should be > implemented in the derived classes, and not the vvp_net_fun_t > class itself. The vvp_net_fun_t is used kinda like an abstract > base class. Thank you for the notice. I have already fixed it and rebased the branch. I am still not sure about the semantics though. It works for me, and there is a test [1] that demonstrates what I was trying to achieve, but I do not know if it covers all possible cases. Regards, Orson 1. https://github.com/orsonmmz/ivtest/commit/bf46c7d1eaddeec88a0c21a6208a2cd3a51b85d1 |
|
From: Martin W. <mai...@ma...> - 2016-02-23 23:13:06
|
Martin Whitaker wrote: > Martin Whitaker wrote: >> Yes, running with the -deval_tree debugging option shows that all the SV >> merged operator/assignments (++, --, >>=) don't work in a constant context. >> > I've pushed a fix for this to both the devel and v10 branches. I've tested > basic operation, but not explored mixing types, sizes, part selects, etc. Not > currently supported are: > > - assignment to concatenations > - increment/decrement operators inside expressions > > Operation on real operands is implemented but not tested, because tgt-vvp > doesn't currently support this either. I've now added the missing functionality to tgt-vvp and fixed a few other bugs in this area. |
|
From: Martin W. <mai...@ma...> - 2016-02-23 08:36:52
|
Martin Whitaker wrote: > Cary R. wrote: >> I can confirm that this does fail using both V10 and development.I'm >> guessing it has something to do with the constant function code and the >> SystemVerilog type or operators. I do not have time to look at this right >> now. This looks like valid code and is likely a problem in Icarus so not >> something that is easy for an end user to debug. If you feel adventurous >> then look at the constant function code in the compiler (eval_tree.cc, >> etc.) and add appropriate debug output to see what is going on. Cary > > Yes, running with the -deval_tree debugging option shows that all the SV > merged operator/assignments (++, --, >>=) don't work in a constant context. > I've pushed a fix for this to both the devel and v10 branches. I've tested basic operation, but not explored mixing types, sizes, part selects, etc. Not currently supported are: - assignment to concatenations - increment/decrement operators inside expressions Operation on real operands is implemented but not tested, because tgt-vvp doesn't currently support this either. Martin |
|
From: Stephen W. <st...@ic...> - 2016-02-20 02:00:56
|
-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA1 On 02/15/2016 08:29 AM, Maciej Sumiński wrote: > It would be great if the ivl & vvp changes were reviewed more > carefully. recv_vec4_pv() seemed suspiciously simple, and I am > afraid I might have missed something important there. You did! What you missed is that those methods should be implemented in the derived classes, and not the vvp_net_fun_t class itself. The vvp_net_fun_t is used kinda like an abstract base class. - -- Steve Williams "The woods are lovely, dark and deep. steve at icarus.com But I have promises to keep, http://www.icarus.com and lines to code before I sleep, http://www.picturel.com And lines to code before I sleep." -----BEGIN PGP SIGNATURE----- Version: GnuPG v2 iEYEARECAAYFAlbHyM8ACgkQrPt1Sc2b3iliTQCfSqPTjRd1QRojbb2YlkJewdaI LqgAn18h5rDtUy2gjgm5glUIAVfdhkC/ =evNv -----END PGP SIGNATURE----- |
|
From: Maciej S. <mac...@ce...> - 2016-02-15 16:29:59
|
Hi, I have just created a new pull request [1] supported by unit tests [2]. Changes: = vhdlpp - support for basic loops (loop .. end loop) - subtype declarations - initial support for CHARACTER enums (LF & CR for now) - system functions: now, shift_left, shift_right - limited support for final wait statement - concurrent assertion statements - delayed assignment statements - conditional assignments without the ending 'else' statement - subprogram overloading (support for multiple functions with the same name, but different parameters types) - multidimensional arrays, only on the vhdlpp side (i.e. VHDL code is translated but cannnot be executed yet) - a bunch of fixes = ivl - part selection in multidimensional packed ports assignment = vvp - implemented vvp_net_fun_t::recv_vec4_pv() It would be great if the ivl & vvp changes were reviewed more carefully. recv_vec4_pv() seemed suspiciously simple, and I am afraid I might have missed something important there. Code responsible for part selection in multidimensional packed ports assignment is based on the code I have seen in elab_expr.cc (PEIdent::elaborate_expr_net_part_). Regards, Orson 1. https://github.com/steveicarus/iverilog/pull/90 2. https://github.com/orsonmmz/ivtest/tree/subp_overload_test |
|
From: Martin W. <mai...@ma...> - 2016-02-09 09:07:31
|
Cary R. wrote: > I can confirm that this does fail using both V10 and development.I'm > guessing it has something to do with the constant function code and the > SystemVerilog type or operators. I do not have time to look at this right > now. This looks like valid code and is likely a problem in Icarus so not > something that is easy for an end user to debug. If you feel adventurous > then look at the constant function code in the compiler (eval_tree.cc, > etc.) and add appropriate debug output to see what is going on. Cary Yes, running with the -deval_tree debugging option shows that all the SV merged operator/assignments (++, --, >>=) don't work in a constant context. Martin |
|
From: Cary R. <cy...@ya...> - 2016-02-09 07:28:15
|
And as a further note the Verilog equivalent of this code does work correctly.
On Monday, February 8, 2016 11:20 PM, Cary R. <cy...@ya...> wrote:
I can confirm that this does fail using both V10 and development.I'm guessing it has something to do with the constant function code and the SystemVerilog type or operators. I do not have time to look at this right now. This looks like valid code and is likely a problem in Icarus so not something that is easy for an end user to debug. If you feel adventurous then look at the constant function code in the compiler (eval_tree.cc, etc.) and add appropriate debug output to see what is going on.
Cary
On Monday, February 8, 2016 8:02 PM, Dan McLeran <dan...@gm...> wrote:
Thanks. Here is a simple example. Using the function clog2 in the
declaration of the logic array causes the failure. Using clog2 in the
sequential logic appears to work.
iverilog -g2012 -Wall -o example example.sv
//example.sv
module example #(parameter N = 8) (output logic[3:0] result, input logic clk);
//logic[clog2(N):0] temp; //comment this line back in to see the failure
always@(posedge clk)
result <= clog2(N);
function int clog2(input int n);
begin
clog2 = 0;
n--;
while(n > 0)
begin
clog2++;
n >>= 1;
end
end
endfunction
endmodule
On Mon, Feb 8, 2016 at 5:13 PM, Stephen Williams <st...@ic...> wrote:
>
> If you make a small but complete example that we cal compile
> for ourselves, we can take a look at this.
>
> On 02/08/2016 12:50 PM, Dan McLeran wrote:
>> I am using iverilog to learn SystemVerilog. I am following along in a
>> digital system design book and am having trouble building one of the
>> examples. The code uses a function to calculate log2:
>>
>> module #(parameter AL = 8, BL = 8, QL = AL + BL) ...
>>
>> logic[clog2(AL):0] count;
>> ...
>> function clog2(input int n);
>> begin
>> clog2 = 0;
>> n--;
>> while(n > 0)
>> begin
>> clog2++;
>> n >>= 1;
>> end
>> end
>> endfunction
>> endmodule
>>
>> I calling iverilog like this:
>>
>> iverilog -g2012 -Wall -o booth booth.sv
>>
>> It seems to get hung processing the loop or detecting n == 0 or
>> something. Is there an easy way
>> to debug this? I was thinking I'd run iverilog within gdb but maybe
>> there is a faster way to determine what is happening?
>>
>> Regards,
>>
>> Dan McLeran
>>
>> ------------------------------------------------------------------------------
>> Site24x7 APM Insight: Get Deep Visibility into Application Performance
>> APM + Mobile APM + RUM: Monitor 3 App instances at just $35/Month
>> Monitor end-to-end web transactions and take corrective actions now
>> Troubleshoot faster and improve end-user experience. Signup Now!
>> http://pubads.g.doubleclick.net/gampad/clk?id=272487151&iu=/4140
>> _______________________________________________
>> Iverilog-devel mailing list
>> Ive...@li...
>> https://lists.sourceforge.net/lists/listinfo/iverilog-devel
>>
>
>
> --
> Steve Williams "The woods are lovely, dark and deep.
> steve at icarus.com But I have promises to keep,
> http://www.icarus.com and lines to code before I sleep,
> http://www.picturel.com And lines to code before I sleep."
>
> ------------------------------------------------------------------------------
> Site24x7 APM Insight: Get Deep Visibility into Application Performance
> APM + Mobile APM + RUM: Monitor 3 App instances at just $35/Month
> Monitor end-to-end web transactions and take corrective actions now
> Troubleshoot faster and improve end-user experience. Signup Now!
> http://pubads.g.doubleclick.net/gampad/clk?id=272487151&iu=/4140
> _______________________________________________
> Iverilog-devel mailing list
> Ive...@li...
> https://lists.sourceforge.net/lists/listinfo/iverilog-devel
------------------------------------------------------------------------------
Site24x7 APM Insight: Get Deep Visibility into Application Performance
APM + Mobile APM + RUM: Monitor 3 App instances at just $35/Month
Monitor end-to-end web transactions and take corrective actions now
Troubleshoot faster and improve end-user experience. Signup Now!
http://pubads.g.doubleclick.net/gampad/clk?id=272487151&iu=/4140
_______________________________________________
Iverilog-devel mailing list
Ive...@li...
https://lists.sourceforge.net/lists/listinfo/iverilog-devel
|
|
From: Cary R. <cy...@ya...> - 2016-02-09 07:23:53
|
I can confirm that this does fail using both V10 and development.I'm guessing it has something to do with the constant function code and the SystemVerilog type or operators. I do not have time to look at this right now. This looks like valid code and is likely a problem in Icarus so not something that is easy for an end user to debug. If you feel adventurous then look at the constant function code in the compiler (eval_tree.cc, etc.) and add appropriate debug output to see what is going on.
Cary
On Monday, February 8, 2016 8:02 PM, Dan McLeran <dan...@gm...> wrote:
Thanks. Here is a simple example. Using the function clog2 in the
declaration of the logic array causes the failure. Using clog2 in the
sequential logic appears to work.
iverilog -g2012 -Wall -o example example.sv
//example.sv
module example #(parameter N = 8) (output logic[3:0] result, input logic clk);
//logic[clog2(N):0] temp; //comment this line back in to see the failure
always@(posedge clk)
result <= clog2(N);
function int clog2(input int n);
begin
clog2 = 0;
n--;
while(n > 0)
begin
clog2++;
n >>= 1;
end
end
endfunction
endmodule
On Mon, Feb 8, 2016 at 5:13 PM, Stephen Williams <st...@ic...> wrote:
>
> If you make a small but complete example that we cal compile
> for ourselves, we can take a look at this.
>
> On 02/08/2016 12:50 PM, Dan McLeran wrote:
>> I am using iverilog to learn SystemVerilog. I am following along in a
>> digital system design book and am having trouble building one of the
>> examples. The code uses a function to calculate log2:
>>
>> module #(parameter AL = 8, BL = 8, QL = AL + BL) ...
>>
>> logic[clog2(AL):0] count;
>> ...
>> function clog2(input int n);
>> begin
>> clog2 = 0;
>> n--;
>> while(n > 0)
>> begin
>> clog2++;
>> n >>= 1;
>> end
>> end
>> endfunction
>> endmodule
>>
>> I calling iverilog like this:
>>
>> iverilog -g2012 -Wall -o booth booth.sv
>>
>> It seems to get hung processing the loop or detecting n == 0 or
>> something. Is there an easy way
>> to debug this? I was thinking I'd run iverilog within gdb but maybe
>> there is a faster way to determine what is happening?
>>
>> Regards,
>>
>> Dan McLeran
>>
>> ------------------------------------------------------------------------------
>> Site24x7 APM Insight: Get Deep Visibility into Application Performance
>> APM + Mobile APM + RUM: Monitor 3 App instances at just $35/Month
>> Monitor end-to-end web transactions and take corrective actions now
>> Troubleshoot faster and improve end-user experience. Signup Now!
>> http://pubads.g.doubleclick.net/gampad/clk?id=272487151&iu=/4140
>> _______________________________________________
>> Iverilog-devel mailing list
>> Ive...@li...
>> https://lists.sourceforge.net/lists/listinfo/iverilog-devel
>>
>
>
> --
> Steve Williams "The woods are lovely, dark and deep.
> steve at icarus.com But I have promises to keep,
> http://www.icarus.com and lines to code before I sleep,
> http://www.picturel.com And lines to code before I sleep."
>
> ------------------------------------------------------------------------------
> Site24x7 APM Insight: Get Deep Visibility into Application Performance
> APM + Mobile APM + RUM: Monitor 3 App instances at just $35/Month
> Monitor end-to-end web transactions and take corrective actions now
> Troubleshoot faster and improve end-user experience. Signup Now!
> http://pubads.g.doubleclick.net/gampad/clk?id=272487151&iu=/4140
> _______________________________________________
> Iverilog-devel mailing list
> Ive...@li...
> https://lists.sourceforge.net/lists/listinfo/iverilog-devel
------------------------------------------------------------------------------
Site24x7 APM Insight: Get Deep Visibility into Application Performance
APM + Mobile APM + RUM: Monitor 3 App instances at just $35/Month
Monitor end-to-end web transactions and take corrective actions now
Troubleshoot faster and improve end-user experience. Signup Now!
http://pubads.g.doubleclick.net/gampad/clk?id=272487151&iu=/4140
_______________________________________________
Iverilog-devel mailing list
Ive...@li...
https://lists.sourceforge.net/lists/listinfo/iverilog-devel
|
|
From: Dan M. <dan...@gm...> - 2016-02-09 04:02:04
|
Thanks. Here is a simple example. Using the function clog2 in the
declaration of the logic array causes the failure. Using clog2 in the
sequential logic appears to work.
iverilog -g2012 -Wall -o example example.sv
//example.sv
module example #(parameter N = 8) (output logic[3:0] result, input logic clk);
//logic[clog2(N):0] temp; //comment this line back in to see the failure
always@(posedge clk)
result <= clog2(N);
function int clog2(input int n);
begin
clog2 = 0;
n--;
while(n > 0)
begin
clog2++;
n >>= 1;
end
end
endfunction
endmodule
On Mon, Feb 8, 2016 at 5:13 PM, Stephen Williams <st...@ic...> wrote:
>
> If you make a small but complete example that we cal compile
> for ourselves, we can take a look at this.
>
> On 02/08/2016 12:50 PM, Dan McLeran wrote:
>> I am using iverilog to learn SystemVerilog. I am following along in a
>> digital system design book and am having trouble building one of the
>> examples. The code uses a function to calculate log2:
>>
>> module #(parameter AL = 8, BL = 8, QL = AL + BL) ...
>>
>> logic[clog2(AL):0] count;
>> ...
>> function clog2(input int n);
>> begin
>> clog2 = 0;
>> n--;
>> while(n > 0)
>> begin
>> clog2++;
>> n >>= 1;
>> end
>> end
>> endfunction
>> endmodule
>>
>> I calling iverilog like this:
>>
>> iverilog -g2012 -Wall -o booth booth.sv
>>
>> It seems to get hung processing the loop or detecting n == 0 or
>> something. Is there an easy way
>> to debug this? I was thinking I'd run iverilog within gdb but maybe
>> there is a faster way to determine what is happening?
>>
>> Regards,
>>
>> Dan McLeran
>>
>> ------------------------------------------------------------------------------
>> Site24x7 APM Insight: Get Deep Visibility into Application Performance
>> APM + Mobile APM + RUM: Monitor 3 App instances at just $35/Month
>> Monitor end-to-end web transactions and take corrective actions now
>> Troubleshoot faster and improve end-user experience. Signup Now!
>> http://pubads.g.doubleclick.net/gampad/clk?id=272487151&iu=/4140
>> _______________________________________________
>> Iverilog-devel mailing list
>> Ive...@li...
>> https://lists.sourceforge.net/lists/listinfo/iverilog-devel
>>
>
>
> --
> Steve Williams "The woods are lovely, dark and deep.
> steve at icarus.com But I have promises to keep,
> http://www.icarus.com and lines to code before I sleep,
> http://www.picturel.com And lines to code before I sleep."
>
> ------------------------------------------------------------------------------
> Site24x7 APM Insight: Get Deep Visibility into Application Performance
> APM + Mobile APM + RUM: Monitor 3 App instances at just $35/Month
> Monitor end-to-end web transactions and take corrective actions now
> Troubleshoot faster and improve end-user experience. Signup Now!
> http://pubads.g.doubleclick.net/gampad/clk?id=272487151&iu=/4140
> _______________________________________________
> Iverilog-devel mailing list
> Ive...@li...
> https://lists.sourceforge.net/lists/listinfo/iverilog-devel
|
|
From: Stephen W. <st...@ic...> - 2016-02-09 00:13:56
|
If you make a small but complete example that we cal compile for ourselves, we can take a look at this. On 02/08/2016 12:50 PM, Dan McLeran wrote: > I am using iverilog to learn SystemVerilog. I am following along in a > digital system design book and am having trouble building one of the > examples. The code uses a function to calculate log2: > > module #(parameter AL = 8, BL = 8, QL = AL + BL) ... > > logic[clog2(AL):0] count; > ... > function clog2(input int n); > begin > clog2 = 0; > n--; > while(n > 0) > begin > clog2++; > n >>= 1; > end > end > endfunction > endmodule > > I calling iverilog like this: > > iverilog -g2012 -Wall -o booth booth.sv > > It seems to get hung processing the loop or detecting n == 0 or > something. Is there an easy way > to debug this? I was thinking I'd run iverilog within gdb but maybe > there is a faster way to determine what is happening? > > Regards, > > Dan McLeran > > ------------------------------------------------------------------------------ > Site24x7 APM Insight: Get Deep Visibility into Application Performance > APM + Mobile APM + RUM: Monitor 3 App instances at just $35/Month > Monitor end-to-end web transactions and take corrective actions now > Troubleshoot faster and improve end-user experience. Signup Now! > http://pubads.g.doubleclick.net/gampad/clk?id=272487151&iu=/4140 > _______________________________________________ > Iverilog-devel mailing list > Ive...@li... > https://lists.sourceforge.net/lists/listinfo/iverilog-devel > -- Steve Williams "The woods are lovely, dark and deep. steve at icarus.com But I have promises to keep, http://www.icarus.com and lines to code before I sleep, http://www.picturel.com And lines to code before I sleep." |
|
From: Dan M. <dan...@gm...> - 2016-02-08 20:50:07
|
I am using iverilog to learn SystemVerilog. I am following along in a
digital system design book and am having trouble building one of the
examples. The code uses a function to calculate log2:
module #(parameter AL = 8, BL = 8, QL = AL + BL) ...
logic[clog2(AL):0] count;
...
function clog2(input int n);
begin
clog2 = 0;
n--;
while(n > 0)
begin
clog2++;
n >>= 1;
end
end
endfunction
endmodule
I calling iverilog like this:
iverilog -g2012 -Wall -o booth booth.sv
It seems to get hung processing the loop or detecting n == 0 or
something. Is there an easy way
to debug this? I was thinking I'd run iverilog within gdb but maybe
there is a faster way to determine what is happening?
Regards,
Dan McLeran
|
|
From: Stephen W. <st...@ic...> - 2016-02-07 02:06:04
|
I added a new warning for my day job (they paid me;-) and they are using the version 10 branch. I noticed that there have been a bunch of minor updates to the v10 branch anyhow, so I went ahead and made a release. So it is up to 10.1. -- Steve Williams "The woods are lovely, dark and deep. steve at icarus.com But I have promises to keep, http://www.icarus.com and lines to code before I sleep, http://www.picturel.com And lines to code before I sleep." |
|
From: Cary R. <cy...@ya...> - 2016-01-26 05:49:17
|
I think I'm living in negative time right now.
Cary
On Monday, January 25, 2016 1:53 PM, Larry Doolittle <ldo...@re...> wrote:
Martin -
On Mon, Jan 25, 2016 at 07:59:15PM +0000, Martin Whitaker wrote:
> Larry Doolittle wrote:
> > I found the attached patch sitting in my iverilog tree, and
> > it doesn't show up in git master. Should it? I don't remember
> > anything about it.
> This looks to be bug #995.
Yes, I turned that in last August. I guess this give you
an idea of depth of my brain's memory for details. :-p
> We've been a bit remiss about handling this (and
> its companions)! I'm a bit busy at present, but will try to look at it soon if
> Steve or Cary don't have time.
OK, thanks. This one is pretty simple and helpful, turning a crash
into a helpful error message. Addressing the underlying missing feature
is surely a lot more work.
- Larry
------------------------------------------------------------------------------
Site24x7 APM Insight: Get Deep Visibility into Application Performance
APM + Mobile APM + RUM: Monitor 3 App instances at just $35/Month
Monitor end-to-end web transactions and take corrective actions now
Troubleshoot faster and improve end-user experience. Signup Now!
http://pubads.g.doubleclick.net/gampad/clk?id=267308311&iu=/4140
_______________________________________________
Iverilog-devel mailing list
Ive...@li...
https://lists.sourceforge.net/lists/listinfo/iverilog-devel
|
|
From: Larry D. <ldo...@re...> - 2016-01-25 21:53:21
|
Martin - On Mon, Jan 25, 2016 at 07:59:15PM +0000, Martin Whitaker wrote: > Larry Doolittle wrote: > > I found the attached patch sitting in my iverilog tree, and > > it doesn't show up in git master. Should it? I don't remember > > anything about it. > This looks to be bug #995. Yes, I turned that in last August. I guess this give you an idea of depth of my brain's memory for details. :-p > We've been a bit remiss about handling this (and > its companions)! I'm a bit busy at present, but will try to look at it soon if > Steve or Cary don't have time. OK, thanks. This one is pretty simple and helpful, turning a crash into a helpful error message. Addressing the underlying missing feature is surely a lot more work. - Larry |
|
From: Martin W. <mai...@ma...> - 2016-01-25 19:59:22
|
Hello Larry, Larry Doolittle wrote: > Friends - > > I found the attached patch sitting in my iverilog tree, and > it doesn't show up in git master. Should it? I don't remember > anything about it. This looks to be bug #995. We've been a bit remiss about handling this (and its companions)! I'm a bit busy at present, but will try to look at it soon if Steve or Cary don't have time. Martin |
|
From: Martin W. <mai...@ma...> - 2016-01-25 19:54:44
|
Lonnie L Gliem wrote: > Is there any way to suppress the input coerced messages? I know in vcs they > just print 1 line unless you set -notice. Only by modifying the compiler source code. Look around line 1420 in elaborate.cc. But do you really want to hide potential gotchas in your Verilog code? It would be nice if Icarus had a more flexible system for enabling/disabling specific warning messages, but there are more important things to fix first. Martin |
|
From: Larry D. <ldo...@re...> - 2016-01-25 16:37:26
|
Friends - I found the attached patch sitting in my iverilog tree, and it doesn't show up in git master. Should it? I don't remember anything about it. - Larry |
|
From: Lonnie L G. <lg...@sr...> - 2016-01-25 15:58:29
|
Is there any way to suppress the input coerced messages? I know in vcs they just print 1 line unless you set -notice. |