Showing 81 open source projects for "verilog-xl"

View related business solutions
  • Our Free Plans just got better! | Auth0 by Okta Icon
    Our Free Plans just got better! | Auth0 by Okta

    With up to 25k MAUs and unlimited Okta connections, our Free Plan lets you focus on what you do best—building great apps.

    You asked, we delivered! Auth0 is excited to expand our Free and Paid plans to include more options so you can focus on building, deploying, and scaling applications without having to worry about your secuirty. Auth0 now, thank yourself later.
    Try free now
  • Bright Data - All in One Platform for Proxies and Web Scraping Icon
    Bright Data - All in One Platform for Proxies and Web Scraping

    Say goodbye to blocks, restrictions, and CAPTCHAs

    Bright Data offers the highest quality proxies with automated session management, IP rotation, and advanced web unlocking technology. Enjoy reliable, fast performance with easy integration, a user-friendly dashboard, and enterprise-grade scaling. Powered by ethically-sourced residential IPs for seamless web scraping.
    Get Started
  • 1
    Software which runs on a gunstix overo, to contron stepper motors, and servos in such a way that they play the piano. The actual stepper motor and servo driver are done on an FPGA board from knjn.com (pluto-3).
    Downloads: 0 This Week
    Last Update:
    See Project
  • 2
    A Verilog design for a simple ASIC that executes the Ray Tracing Algorithm.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 3
    FPGA coprocessor floating point math lib
    libhdlfltp is a VHDL library of floating point operators, all of which are parametrized, synthesizable to FPGAs and cover a number of the core operators in math.h.
    Downloads: 1 This Week
    Last Update:
    See Project
  • 4
    HW(VHDL) and SW of logic analyzer and On-Chip-Verification(OCV) for Value Change Dump(VCD) file format that exported to seemd SystemC ,ModelSIM, and many other EDA tools. Very easy and Simple.
    Downloads: 1 This Week
    Last Update:
    See Project
  • JobNimbus Construction Software Icon
    JobNimbus Construction Software

    For Roofers, Remodelers, Contractors, Home Service Industry

    Track leads, jobs, and tasks from one easy to use software. You can access your information wherever you are, get everyone on the same page, and grow your business.
    Learn More
  • 5
    a micro processor 16 bits optimized to hold in a CPLD
    Downloads: 0 This Week
    Last Update:
    See Project
  • 6
    BlowfishVHDL - free fully synthesizable Blowfish encryption algorithm hardware implementation.
    Leader badge
    Downloads: 0 This Week
    Last Update:
    See Project
  • 7
    OpenWebServo is an Open Source Hardware and Software project. Its main goal is to develop a web-controlled servo system. The project includes web application, firmware and hardware design.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 8
    The SBus is a family of high-speed packet-based databus standards, suitable for both networking and interdevice communication. They are optimized for high data density transactions. This project creates and documents the standards, schematics, and driver
    Downloads: 0 This Week
    Last Update:
    See Project
  • 9
    the goal of this project is to build a stack for Lonworks Protocol and device working on this protocol
    Downloads: 0 This Week
    Last Update:
    See Project
  • Automated quote and proposal software for IT solution providers. | ConnectWise CPQ Icon
    Automated quote and proposal software for IT solution providers. | ConnectWise CPQ

    Create IT quote templates, automate workflows, add integrations & price catalogs to save time & reduce errors on manual data entry & updates.

    ConnectWise CPQ, formerly ConnectWise Sell, is a professional quote and proposal automation software for IT solution providers. ConnectWise CPQ offers a wide range of tools that enables IT solution providers to save time, quote more, and win big. Top features include professional quote or proposal templates, product catalog and sourcing, workflow automation, sales reporting, and integrations with best-in-breed solutions like Cisco, Dell, HP, and Salesforce.
    Learn More
  • 10
    This project aims to develop a colour-based vision processing system for use in RoboCup. We are using a CCD camera for input to an FPGA. The system locates coloured objects and outputs detected corners.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 11

    AtariMax front-end

    Front-end to AtariMax software kit

    Front-end to AtariMax software kit to flash a 1Mbit or 8Mbit cartridge for Atari XL computers.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 12
    Simple RISC microprocessor development project
    Downloads: 0 This Week
    Last Update:
    See Project
  • 13
    m4-la is a Logic Analyzer written in VHDL for the Xilinx ML403 Development board featuring the Virtex4 FPGA. The user interface is written in C for Windows32 based platforms. Xilinx ISE and EDK tools compile the VHDL and MS Visual Studio compiles the UI.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 14
    Project SUZAKU, home of software development based on SUZAKU FPGA board
    Downloads: 0 This Week
    Last Update:
    See Project
  • 15
    The OS561 operating system based around FORTH/Java. The OS is to run on a VHDL chip OpenHardware design called the Minon, but could become available for other platforms. The unique point of the design is a revolutionary data compression technology.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 16
    The Affordable BIOS Restoration Tool provides VHDL and C code to recover from failed BIOS upgrades using affordable CPLD's. EEPROM's and Flash chips can be restored with this flash programmer. Interfaces for DIP and tsop packages are being developed.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 17
    Pancham is an IP core that implements the MD5 message digest algorithm. It is written in Verilog and comes with a testbench. It will be portable across multiple simulators and will be synthesizable.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 18
    RSTK is a C language program that generates Reed-Solomon HDL source code modules that can be compiled and synthesized using standard VHDL or Verilog compilers and synthesis tools.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 19
    Yet Another DLX based Architecture System On a Chip (YADASOC) is a RTL Verilog implenetation of a DLX based CPU and subsystems.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 20
    Inane's not a NES emulator. It is a reimplementation of the original NES hardware in VHDL with the goal of making it fully synthesize in hardware.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 21
    A hardware project to interface a microcontroller (currently PIC family) to a LED driver consisting of a CPLD to drive an LED array with 35 LEDs... The source codes (c/vhdl) and schematics are going to be freely available
    Downloads: 0 This Week
    Last Update:
    See Project
  • 22
    Oscilloscope using a VGA monitor and a cpld
    Downloads: 0 This Week
    Last Update:
    See Project
  • 23
    The aim is to develop a foundation for a FPGA hardware platform able to run Linux kernel and software. It must be easy to add hardware accelerated ip-cores to the FPGA. Ethernet and TCP/IP is a corner stone of the hardware and software.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 24
    L2MI - Little Modem Multiple Interface is a project that provide a firmware and hardware description of an educational Modem with multiple interfaces.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 25
    SHELLEY Software HardwarE Light LanguagE Yep !
    Downloads: 0 This Week
    Last Update:
    See Project