Showing 375 open source projects for "verilog-xl"

View related business solutions
  • Achieve perfect load balancing with a flexible Open Source Load Balancer Icon
    Achieve perfect load balancing with a flexible Open Source Load Balancer

    Take advantage of Open Source Load Balancer to elevate your business security and IT infrastructure with a custom ADC Solution.

    Boost application security and continuity with SKUDONET ADC, our Open Source Load Balancer, that maximizes IT infrastructure flexibility. Additionally, save up to $470 K per incident with AI and SKUDONET solutions, further enhancing your organization’s risk management and cost-efficiency strategies.
  • Red Hat Enterprise Linux on Microsoft Azure Icon
    Red Hat Enterprise Linux on Microsoft Azure

    Deploy Red Hat Enterprise Linux on Microsoft Azure for a secure, reliable, and scalable cloud environment, fully integrated with Microsoft services.

    Red Hat Enterprise Linux (RHEL) on Microsoft Azure provides a secure, reliable, and flexible foundation for your cloud infrastructure. Red Hat Enterprise Linux on Microsoft Azure is ideal for enterprises seeking to enhance their cloud environment with seamless integration, consistent performance, and comprehensive support.
  • 1
    Lite XL

    Lite XL

    A lightweight text editor written in Lua

    A lightweight, simple, fast, feature-filled, and extremely extensible text editor written in C, and Lua, adapted from lite.
    Downloads: 2 This Week
    Last Update:
    See Project
  • 2
    Thorium

    Thorium

    Chromium fork named after radioactive element No. 90

    Chromium fork for Linux named after radioactive element No. 90. Windows/MacOS/RasPi/Android/Other builds.
    Downloads: 25 This Week
    Last Update:
    See Project
  • 3
    GHDL

    GHDL

    VHDL 2008/93/87 simulator

    This directory contains the sources of GHDL, the open-source analyzer, compiler, simulator and (experimental) synthesizer for VHDL, a Hardware Description Language (HDL). GHDL is not an interpreter: it allows you to analyze and elaborate sources for generating machine code from your design. Native program execution is the only way for high-speed simulation. Full support for the 1987, 1993, 2002 versions of the IEEE 1076 VHDL standard, and partial for the 2008 and 2019 revisions. By using a...
    Downloads: 16 This Week
    Last Update:
    See Project
  • 4
    Clash

    Clash

    Haskell to VHDL/Verilog/SystemVerilog compiler

    Clash is a functional hardware description language that borrows both its syntax and semantics from the functional programming language Haskell. It provides a familiar structural design approach to both combinational and synchronous sequential circuits. The Clash compiler transforms these high-level descriptions to low-level synthesizable VHDL, Verilog, or SystemVerilog. Clash is an open-source project, licensed under the permissive BSD2 license, and actively maintained by QBayLogic. The Clash...
    Downloads: 5 This Week
    Last Update:
    See Project
  • Top-Rated Free CRM Software Icon
    Top-Rated Free CRM Software

    216,000+ customers in over 135 countries grow their businesses with HubSpot

    HubSpot is an AI-powered customer platform with all the software, integrations, and resources you need to connect your marketing, sales, and customer service. HubSpot's connected platform enables you to grow your business faster by focusing on what matters most: your customers.
  • 5
    XIVLauncher

    XIVLauncher

    Custom launcher for FFXIV

    XIVLauncher (abbreviated as XL) is a faster launcher for our favorite critically acclaimed MMO, with various available add-ons and enhancements to the game. XIVLauncher now has a native Linux version that works on Steam Deck and Desktop Linux - no more messing around with scripts and command lines, just a few easy steps to install the game and add it to Steam, with a wine version especially tuned to XIV.
    Downloads: 1 This Week
    Last Update:
    See Project
  • 6
    libvips

    libvips

    A fast image processing library with low memory needs

    ... of image formats, including JPEG, JPEG2000, JPEG-XL, TIFF, PNG, WebP, HEIC, AVIF, FITS, Matlab, OpenEXR, PDF, SVG, HDR, PPM / PGM / PFM, CSV, GIF, Analyze, NIfTI, DeepZoom, and OpenSlide. It can also load images via ImageMagick or GraphicsMagick, letting it work with formats like DICOM. It comes with bindings for C, C++, and the command-line. Full bindings are available for Ruby, Python, PHP, C# / .NET, Go, and Lua.
    Downloads: 1 This Week
    Last Update:
    See Project
  • 7
    Sloc Cloc and Code (scc)

    Sloc Cloc and Code (scc)

    Sloc, Cloc and Code: scc is a very fast accurate code counter

    Sloc, Cloc and Code: scc is a very fast accurate code counter with complexity calculations and COCOMO estimates written in pure Go. The tool is similar to cloc, sloccount and tokei. For counting the lines of code, blank lines, comment lines, and physical lines of source code in many programming languages. The goal is to be the fastest code counter possible, but also perform COCOMO calculations like sloccount, estimate code complexity similar to cyclomatic complexity calculators, and produce...
    Downloads: 1 This Week
    Last Update:
    See Project
  • 8
    Chroma

    Chroma

    A general purpose syntax highlighter in pure Go

    As Chroma has just been released, its API is still in flux. That said, the high-level interface should not change significantly. Chroma takes source code and other structured text and converts it into syntax-highlighted HTML, ANSI-coloured text, etc. Chroma is based heavily on Pygments and includes translators for Pygments lexers and styles. ABAP, ABNF, ActionScript, ActionScript 3, Ada, Angular2, ANTLR, ApacheConf, APL, AppleScript, Arduino, Awk. PacmanConf, Perl, PHP, PHTML, Pig,...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 9
    Icarus Verilog is an open source Verilog compiler that supports the IEEE-1364 Verilog HDL including IEEE1364-2005 plus extensions.
    Leader badge
    Downloads: 263 This Week
    Last Update:
    See Project
  • Let your volunteer coordinators do their best work. Icon
    Let your volunteer coordinators do their best work.

    For non-profit organizations requiring a software solution to keep track of volunteers

    Stop messing with tools that aren’t designed to amplify volunteer programs. With VolunteerMatters, it’s a delight to manage everything in one place.
  • 10

    System Verilog Parser IEEE 1800 LRM

    IEEE LRM compliant System Verilog Parser in Java with Python, Tcl API

    This parser has been developed to help users to implement their Verilog tool/utility on the top this library. It reads RTL and populates its internal data structures. There are APIs to extract the design information from the database, there are APIs to elaborate every element of the design along with basic expression evaluation capabilities. It has been bundled as an executable JAR file along with a sample application which reads a RTL file(s), elaborates and dumps it back to show the users...
    Downloads: 2 This Week
    Last Update:
    See Project
  • 11
    StudioGAN

    StudioGAN

    StudioGAN is a Pytorch library providing implementations of networks

    StudioGAN is a Pytorch library providing implementations of representative Generative Adversarial Networks (GANs) for conditional/unconditional image generation. StudioGAN aims to offer an identical playground for modern GANs so that machine learning researchers can readily compare and analyze a new idea. Moreover, StudioGAN provides an unprecedented-scale benchmark for generative models. The benchmark includes results from GANs (BigGAN-Deep, StyleGAN-XL), auto-regressive models (MaskGIT, RQ...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 12
    ruDALL-E

    ruDALL-E

    Generate images from texts. In Russian

    ... in Russian and other languages. You can even combine different languages within a single query. This neural network has been developed and trained by Sber AI researchers in close collaboration with scientists from Artificial Intelligence Research Institute using joined datasets by Sber AI and SberDevices. Russian text-to-image model that generates images from text. The architecture is the same as ruDALL-E XL. Even more parameters in the new version.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 13

    PySimpleGUI_Designer

    A GUI aid for designing PySimpleGUI screens.

    GUI aid for designing PySimpleGUI screens and automation of layout code for the most common PySimpleGUI elements(Excel,LibreOffice & Python). The produced layout code will be pasted to the clipboard and to the PSGText sheet. The .doc file MUST be read prior to use. Added LibreOffice version(should also run in OpenOffice): GUI aid for designing PySimpleGUI screens and automation of layout code for the most common PySimpleGUI elements, written in LibreOffice Calc Basic. The produced...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 14
    AWS EC2 FPGA

    AWS EC2 FPGA

    AWS EC2 FPGA hardware and software development Kit

    ... and can be deployed in a scalable and secure way. Development experience leverages an optimized compiler to allow easy new accelerator development or migration of existing C/C++/openCL, Verilog/VHDL to AWS FPGA instances. Fully custom hardware development experience provides hardware developers with the tools required for developing AFIs for AWS FPGA instances.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 15
    Fallen Kingdom - Atari XL/XE

    Fallen Kingdom - Atari XL/XE

    Puzzle game with chess pieces

    Defeat evil red king with your own blue chess pieces
    Downloads: 0 This Week
    Last Update:
    See Project
  • 16
    XL (eXtensible Language) is a general purpose compiled programming language. XL doesn't force you to use a limited set of concepts (such as "objects"). Instead, it can be extended (through libraries) to use the concepts that are natural to your a
    Downloads: 0 This Week
    Last Update:
    See Project
  • 17
    Deep learning time series forecasting

    Deep learning time series forecasting

    Deep learning PyTorch library for time series forecasting

    Example image Flow Forecast (FF) is an open-source deep learning for time series forecasting framework. It provides all the latest state-of-the-art models (transformers, attention models, GRUs) and cutting-edge concepts with easy-to-understand interpretability metrics, cloud provider integration, and model serving capabilities. Flow Forecast was the first time series framework to feature support for transformer-based models and remains the only true end-to-end deep learning for time series...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 18
    Binary Parasite - Atari XL/XE

    Binary Parasite - Atari XL/XE

    Superb logical platformer

    Downloads: 0 This Week
    Last Update:
    See Project
  • 19
    1. flattenverilog : Flattens the specified verilog module by removing the hierarchies. It works both for RTL and netlist. 2. preprocessverilog : Verilog Preprocessor to resolve macros like nested `ifdef , `define 3. createhierarchy : Verilog Hierarchy Creation Tool to group a list of instances in RTL or enlist. This creates a new wrapper by encapsulating the instance 4. flatteninstances : Flattens the given list of hierarchical instances- this removes hierarchy by pulling the contents...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 20
    GTKWave is a fully featured GTK+ based wave viewer for Unix and Win32 which reads LXT, LXT2, VZT, FST, and GHW files as well as standard Verilog VCD/EVCD files and allows their viewing.
    Leader badge
    Downloads: 732 This Week
    Last Update:
    See Project
  • 21
    Open-source interpreted Verilog simulator with a feature set and performance similar to Verilog-XL. Implements all IEEE 1364-1995 features along with some Verilog-2001 features. Full support for Verilog PLIs.
    Leader badge
    Downloads: 9 This Week
    Last Update:
    See Project
  • 22
    Evolution X Floral

    Evolution X Floral

    Evolution X for Pixel 4 and 4 XL

    Leader badge
    Downloads: 330 This Week
    Last Update:
    See Project
  • 23
    wxMEdit

    wxMEdit

    wxMEdit, Cross-platform Text/Hex Editor, Improved Version of MadEdit

    •Added automatically checking for updates •Added bookmark support •Added right-click context menu for each tab •Added purging histories support •Added selecting a line by triple click •Added FreeBASIC syntax file •Added an option to place configuration files into %APPDATA% directory under Windows •Improved support for Find/Replace •Improved Mac OS X support •Improved system integration under Windows •Improved encoding detection result •Improved Hex editing support •Added more...
    Leader badge
    Downloads: 417 This Week
    Last Update:
    See Project
  • 24
    Citoplazma - Atari XL/XE demo

    Citoplazma - Atari XL/XE demo

    Demo presented on Forever 2011 - 2nd place

    Demo for 8-bit Atari XL/XE computers presented at Forever C (2011) demoparty at Horna Suca, Slovakia This demo won 2nd place in Atari demo compo. If you don't know how to run it go Wiki!
    Downloads: 0 This Week
    Last Update:
    See Project
  • 25
    r3dfox - Modern Firefox for Windows 7

    r3dfox - Modern Firefox for Windows 7

    Modern Firefox based web browser for Windows Vista & 7!

    r3dfox or r3dactedfox is a fork of release branch Mozilla Firefox made for Windows Vista, 7, and 8. r3dfox also comes with limited compatibility for Windows XP using One Core API. The main goal is to be similar to stock Firefox while providing Windows Vista & 7 compatibility as a fork point. However there are also some additional tweaks and adjustments.
    Leader badge
    Downloads: 122 This Week
    Last Update:
    See Project
  • Previous
  • You're on page 1
  • 2
  • 3
  • 4
  • 5
  • Next