Showing 81 open source projects for "verilog-xl"

View related business solutions
  • SKUDONET Open Source Load Balancer Icon
    SKUDONET Open Source Load Balancer

    Take advantage of Open Source Load Balancer to elevate your business security and IT infrastructure with a custom ADC Solution.

    SKUDONET ADC, operates at the application layer, efficiently distributing network load and application load across multiple servers. This not only enhances the performance of your application but also ensures that your web servers can handle more traffic seamlessly.
  • Nectar: Employee Recognition Software to Build Great Culture Icon
    Nectar: Employee Recognition Software to Build Great Culture

    Nectar is an employee recognition software built for the modern workforce.

    Our 360 recognition & rewards platform enables everyone (peer to peer & manager to employees alike) to send meaningful recognition rooted in core values. Nectar has the most extensive rewards catalog so users can choose from company branded swag, Amazon products, gift cards or custom reward types. Integrate with your other tools like Slack and Teams to make sending recognition easy. We support top organizations like MLB, SHRM, Redfin, Heineken and more.
  • 1
    XIVLauncher

    XIVLauncher

    Custom launcher for FFXIV

    XIVLauncher (abbreviated as XL) is a faster launcher for our favorite critically acclaimed MMO, with various available add-ons and enhancements to the game. XIVLauncher now has a native Linux version that works on Steam Deck and Desktop Linux - no more messing around with scripts and command lines, just a few easy steps to install the game and add it to Steam, with a wine version especially tuned to XIV.
    Downloads: 1 This Week
    Last Update:
    See Project
  • 2
    AWS EC2 FPGA

    AWS EC2 FPGA

    AWS EC2 FPGA hardware and software development Kit

    ... and can be deployed in a scalable and secure way. Development experience leverages an optimized compiler to allow easy new accelerator development or migration of existing C/C++/openCL, Verilog/VHDL to AWS FPGA instances. Fully custom hardware development experience provides hardware developers with the tools required for developing AFIs for AWS FPGA instances.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 3
    Evolution X Floral

    Evolution X Floral

    Evolution X for Pixel 4 and 4 XL

    Leader badge
    Downloads: 330 This Week
    Last Update:
    See Project
  • 4
    ReallySnow-ROM
    Welcome to the ReallySnow Unofficial Build ROM download site Device: taimen: Google Pixel 2 XL walleye: Google Pixel 2 titan: Huawei Enjoy5(TIT-TL00) EOL tango: Huawei Enjoy5s(TAG-AL00) EOL Donate(World): https://www.paypal.me/reallysnow Donate(Mainland China): https://img.imgdb.cn/item/60828b17d1a9ae528ff49832.png
    Downloads: 11 This Week
    Last Update:
    See Project
  • Gain insights and build data-powered applications Icon
    Gain insights and build data-powered applications

    Your unified business intelligence platform. Self-service. Governed. Embedded.

    Chat with your business data with Looker. More than just a modern business intelligence platform, you can turn to Looker for self-service or governed BI, build your own custom applications with trusted metrics, or even bring Looker modeling to your existing BI environment.
  • 5
    VTracer is a Verilog Testbench developer aid. Contains well documented Verilog-Perl co-simulation environment (TCP sockets based), structural Verilog parser, demo Testbenches.
    Downloads: 1 This Week
    Last Update:
    See Project
  • 6
    A new 64-bit RISC platform, complemented by a set of development tools, standards specifications and synthesizable VHDL implementations.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 7
    Platform for advanced open source IP-Core development, i. e. dynamic memory controllers for FPGAs.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 8
    XL-ParseMails

    XL-ParseMails

    XL-ParseMails provides functions to parse emails for analysis.

    Part of the XL-Toolkit, XL-ParseMails provides functions to parse emails for analysis.
    Downloads: 3 This Week
    Last Update:
    See Project
  • 9

    pyrpl

    PyRPL turns your Red Pitaya into a powerful analog feedback device.

    The Red Pitaya is a commercial, affordable FPGA board with fast analog inputs and outputs. This makes it useful for quantum optics experiments, in particular as a digital feedback controller for analog systems. Based on the open source software provided by the board manufacturer, PyRPL (Python RedPitaya Lockbox) implements many devices that are needed for optics experiments with the Red Pitaya. PyRPL implements various digital signal processing (DSP) modules (see features below). It allows...
    Downloads: 58 This Week
    Last Update:
    See Project
  • Discover Multiview ERP: The Financial Management Revolution Icon
    Discover Multiview ERP: The Financial Management Revolution

    Reclaim precious moments with loved ones while our robust cloud accounting software streamlines your financial processes.

    Built for growing businesses and well-established enterprises alike, Multiview is a highly scalable and robust ERP.
  • 10
    XL-FileTools

    XL-FileTools

    XL-FileTools provides a bunch of tools for files.

    XL-FileTools provides a bunch of tools for files like listing, copying, moving, renaming, etc. More screenshots and details on http://le-tools.com.
    Downloads: 16 This Week
    Last Update:
    See Project
  • 11
    Controlix

    Controlix

    An operating system written in RTL

    Controlix is a virtual-circuit based operating system written in RTL.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 12

    SmGen

    Verilog Finite State Machine (FSM) Code Generator

    SmGen is a finite state machine (FSM) generator for Verilog. On the other hand, it is not an FSM entry tool. The input is behavioral Verilog with clock boundaries specifically set by the designer. SmGen unrolls this behavioral code and generates an FSM from it in synthesizable Verilog. Clock boundaries are explicitly provided by the designer so there is good control on the expected timing
    Downloads: 0 This Week
    Last Update:
    See Project
  • 13
    Uart32

    Uart32

    Win32 API wrappers for accessing COM Uart in blocking mode

    Uart32 is a C++ wrapper around the win32 Serial Port API that allows blocking-mode access to the COM port. This library works great for accessing a UART serial port from a background worker thread. It features: a simple c++ class. (temporarily removed for maintainance. Use DLL API Instead) built in per access timeout on data receive. a C-API wrapper that is exportable to C# DLL import class
    Downloads: 1 This Week
    Last Update:
    See Project
  • 14
    Project site for LineageOS for BLU Pure XL (MT6795).
    Downloads: 2 This Week
    Last Update:
    See Project
  • 15
    Postgres-XL
    Postgres-XL is a PostgreSQL-based scale-out cluster that handles both OLTP write intensive workloads as well as OLAP/BI type of workloads thanks to MPP parallelism. Postgres-XL is fully ACID and supports cluster-wide Multi-version Concurrency Control (MVCC) for global consistency and performance. There are commercial companies such as 2ndQuadrant, who provide support and consulting around Postgres-XL. Please visit http://2ndquadrant.com/en/resources/postgres-xl/ for more details.
    Downloads: 9 This Week
    Last Update:
    See Project
  • 16

    lpACLib

    An Open-Source Library for Low-Power Approximate Computing Modules

    The “lpACLib” library contains the VHDL description of accurate and approximate versions of several arithmetic modules (like adders and multiplier of different bit-widths) and accelerators. Moreover, it also provides the corresponding software behavioral models/implementations developed in C and MATLAB to enable quality characterization. Besides our novel designs, it also contains implementations for several state-of-the-art arithmetic modules and their approximate versions. This open-source...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 17

    COFILOS

    A Development Framework for Coldfire

    Contains a framework for Coldfire MCUs like 52254. The framework supports a Command Line Interface (CLI) that may work from Serial port, USB or ENET. The framework uses Processor Expert and IDE requirement is MCU Eclipse 10.4 from Freescale. Includes the FunkOS Realtime Operating System by Funkenstein Software Consulting, available at http://funkos.sourceforge.net Mainly it is a support package for the development board Perseus, but I have ported also the RTOS to MCF52233DEMO...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 18

    ApproxAdderLib

    Library of Approximate Adders

    We provide MATLAB and Verilog Models of GeAr, and previously proposed adders (ACA-I, ETAII, ACA-II and GDA) at http://sourceforge.net/projects/approxadderlib/ GeAr is a low latency Generic Accuracy Configurable Adder that provides a higher number of potential configurations compared to state-of-the-art approximate adders, thus enabling a high degree of flexibility and trade-off between performance and output quality. These MATALB and Verilog models can allow software programmer as well...
    Downloads: 1 This Week
    Last Update:
    See Project
  • 19

    MatlabSimulink2CPP

    Demo of Simulink to C++ C or HDL FGA for HFT potential

    Video and files download for Visual trading idea to C++ or FPGA HFT Meetup File download sample: test model (Matlab 2014b with Visual Studio 2013 C++ project generated) Powerpoint MATLAB SIMULINK http://quantlabs.net/blog/2015/04/video-and-files-download-for-visual-trading-idea-to-c-or-fpga-hft-meetup/
    Downloads: 0 This Week
    Last Update:
    See Project
  • 20
    cMIPS

    cMIPS

    cMIPS - an FPGA ready VHDL model for 5-stage pipeline, MIPS32r2 core

    This project was moved to https://gitlab.c3sl.ufpr.br/roberto/cmips The code here is no longer up to date. The VHDL model mimics the pipeline design described in Patterson & Hennessy's book (Computer Organisation and Design) and is an almost complete implementation of the MIPS32r2 instruction set. The TLB and assorted control registers will be included soon (as of fev 2015). The model was synthesized for an Altera EP4CE30F23. The model uses up 15% of combinational blocks and 5%...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 21

    EduCPU

    Simple CPU for education

    This is a simple CPU design, written in Verilog, intended for educational purposes. The objective is to provide a simulatable processor where the source code exposes concepts in CPU microarchitecture.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 22
    OpenSOC86

    OpenSOC86

    Open implementation of the x86 architecture

    OpenSOC86 is an open implementation of the x86 architecture in Verilog. The current version only implements the 16-bit part (real mode). The processor is a pipelined architecture clocked at 100 MHz in a Cyclone II speed grade -6. Therefore it can be seen as similar to a 486 in real mode. Several peripherals are also implemented in a somewhat minimalistic way, but enough to be able to boot an IBM PCXT compatible bios and MSDOS 6.22. The current implementation is only proven to boot the bios...
    Downloads: 2 This Week
    Last Update:
    See Project
  • 23
    SamyGO
    This project created for research on Samsung TV Firmware Hacking
    Downloads: 9 This Week
    Last Update:
    See Project
  • 24
    Open-source alternative partial reconfiguration flow for Xilinx FPGAs
    Downloads: 0 This Week
    Last Update:
    See Project
  • 25
    openAut

    openAut

    Open Source Hardware For Industrial Automation

    This project is aimed at producing open source hardware for real time use in industrial automation. This project will have a few sub-projects that will focus on individual hardware for various industrial purpose. Some of the sub-projects will be of type Field-IO Modules development, Analog-IO Module development etc.
    Downloads: 0 This Week
    Last Update:
    See Project
  • Previous
  • You're on page 1
  • 2
  • 3
  • 4
  • Next