Showing 60 open source projects for "unity source code"

View related business solutions
  • Top-Rated Free CRM Software Icon
    Top-Rated Free CRM Software

    216,000+ customers in over 135 countries grow their businesses with HubSpot

    HubSpot is an AI-powered customer platform with all the software, integrations, and resources you need to connect your marketing, sales, and customer service. HubSpot's connected platform enables you to grow your business faster by focusing on what matters most: your customers.
    Get started free
  • iDeals Virtual Data Room | Efficient, Secure Data Sharing Icon
    iDeals Virtual Data Room | Efficient, Secure Data Sharing

    Over 1,000,000 professionals from 175,000 companies have shared documents securely with iDeals

    Share and collaborate on business-critical documents in a secure way.
    Learn More
  • 1
    PICSimLab - Prog. IC Simulator Lab.

    PICSimLab - Prog. IC Simulator Lab.

    PICSimLab is a realtime emulator for PIC, Arduino, STM32, ESP32, ...

    PICSimLab is a realtime emulator of development boards with MPLABX/avr-gdb debugger integration. PICSimLab supports microcontrollers from picsim, simavr, uCsim, qemu-stm32, qemu-esp32, and gpsim. PICSimLab has integration with MPLABX/Arduino IDE for programming the microcontroller's boards. As the purpose of PICSimLab is to emulate real hardware it does not have any source code editing support. For code editing and debugging the same tools used for a real board should be used with PICSimLab...
    Leader badge
    Downloads: 729 This Week
    Last Update:
    See Project
  • 2
    SimulIDE

    SimulIDE

    Real Time Electronic Circuit Simulator.

    Real Time Electronic Circuit Simulator. Include PIC, AVR and Arduino simulation. Code Editor & Debugger for Arduino, GcBasic, PIC asm, AVR asm. Downloads: https://simulide.com/p/downloads/ WebSite: https://simulide.com Forum: https://simulide.com/p/forum/ Patreon: https://www.patreon.com/simulide AVR simulation provided by simavr: https://github.com/buserror/simavr PIC simulation provided by GpSim: http://gpsim.sourceforge.net/
    Leader badge
    Downloads: 458 This Week
    Last Update:
    See Project
  • 3

    AUDio MEasurement System

    PC based Oscilloscope and Spectrum analyzer using sound card

    AUDio MEasurement System - a multi-platfrom system for audio measurement through sound card in the PC. It contains: generator, oscilloscope, audio spectrum analyzer (FFT) and frequency sweep plot. Compiles and works under Linux, Windows and MacOS. Source code is available in "git" and as ZIP snapshot. For more information see README.md
    Leader badge
    Downloads: 80 This Week
    Last Update:
    See Project
  • 4
    Kactus2

    Kactus2

    Kactus2 is a graphical EDA tool based on the IP-XACT standard.

    Kactus2 is a toolset for IP-XACT based SoC design and provides packaging, integration and configuration of HW and SW components, plus register design and HDL import and generation. The source code is hosted at https://github.com/kactus2/kactus2dev. An example IP library is available at https://github.com/kactus2/ipxactexamplelib Video tutorials are available at https://www.youtube.com/user/Kactus2Tutorial Issue tracker is available at https://github.com/kactus2/kactus2dev/issues...
    Downloads: 45 This Week
    Last Update:
    See Project
  • Field Service Management Software | BlueFolder Icon
    Field Service Management Software | BlueFolder

    Maximize technician productivity with intuitive field service software

    Track all your service data in one easy-to-use system, enabling your team to move faster and generate more revenue for your bottom line.
    Learn More
  • 5
    CircuiTikZ Generator

    CircuiTikZ Generator

    This software is a tool for designing electronic circuits using LaTeX.

    This software is a tool for designing electronic circuits using LaTeX. With an intuitive graphical interface, you can create complex circuits quickly and easily, while the LaTeX code generator translates your designs into code compatible with the LaTeX circuitikz library.
    Leader badge
    Downloads: 28 This Week
    Last Update:
    See Project
  • 6
    UMHDL

    UMHDL

    Integrated Development Environment (IDE) for learning HDL

    UMHDL is an educational Integrated Development Environment (IDE) intended for learning digital designing with programmable logic devices using Hardware Description Languages (HDL) through simulation. It is an open-source application created at the Miguel Hernández University (UMH). The aim for the UMHDL development was to have a graphical application that allows learning the VHDL language without licensing restrictions (using some existing open-source tools) and requiring few resources. So...
    Downloads: 9 This Week
    Last Update:
    See Project
  • 7

    System Verilog Parser IEEE 1800 LRM

    IEEE LRM compliant System Verilog Parser in Java with Python, Tcl API

    ... that they will be able to extract every bit of design information from the parsed database. The source code of that application can be shared upon request. You need JRE 1.6.x or above in order to use this parser. Please refer to the document for the detail of the available APIs.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 8
    IEC 60870-5 104 Protocol download

    IEC 60870-5 104 Protocol download

    IEC 104 RTU Server Client Simulator Source Code Library Win Linux

    v21.06.008 Complete implementation of iec 104 protocol standard including File transfer. Make your RTU, protocol converter, Gateway, HMI, Data concentrator compatible with iec 104. *Industry Proved * Worldwide Customers Download Evaluation Kit - IEC 104 Development Bundle In the Development Bundle, We included IEC 104 Server & Client Simulator, Windows & Linux...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 9
    myNetPCB

    myNetPCB

    Community driven PCB Layout and Schematic capture software

    PCB Layout and Schematic capture tool for Win/Linux/Mac. Source code at https://github.com/sergei-iliev/myNetPCB
    Downloads: 2 This Week
    Last Update:
    See Project
  • Build with generative AI, deploy apps fast, and analyze data in seconds—all with Google-grade security. Icon
    Google Cloud is a cloud-based service that allows you to create anything from simple websites to complex applications for businesses of all sizes.
    Try it free
  • 10
    eLogSim

    eLogSim

    Digital circuit simulator

    .... Commented, easy-to-compile source-code included as well.
    Downloads: 3 This Week
    Last Update:
    See Project
  • 11
    Transistor

    Transistor

    Exploiting Mox Software "Bipolar Transistors" database

    It requires db.sqlite database and images folder containing transistor's implementation pin Bipolar Transistor Database from Mox Software is not available anymore. As on many download websites it was mentioned as open sources (but no source available) I decided to rebuild if almost from scratch. As a transistor database may be useful i decide to share what I've done. It has been written in Purebasic because IDE is free till 800 lines of code written, and mainly because it's very...
    Downloads: 4 This Week
    Last Update:
    See Project
  • 12
    XOR Tree Generator
    Program for creating Verilog synthesizable XOR trees for high performance designs. Supports creation of Hamming Code (ECC) generators, checkers, and GF2 Multipliers.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 13
    A simple VHDL(VHSIC Hardware Description Language) preprocessor
    Downloads: 0 This Week
    Last Update:
    See Project
  • 14

    SmGen

    Verilog Finite State Machine (FSM) Code Generator

    SmGen is a finite state machine (FSM) generator for Verilog. On the other hand, it is not an FSM entry tool. The input is behavioral Verilog with clock boundaries specifically set by the designer. SmGen unrolls this behavioral code and generates an FSM from it in synthesizable Verilog. Clock boundaries are explicitly provided by the designer so there is good control on the expected timing
    Downloads: 0 This Week
    Last Update:
    See Project
  • 15
    RxCalc

    RxCalc

    RxCalc is a calculator for the analysis of multi-stage receiver.

    RxCalc is a calculator for the analysis of multi-stage receiver. The software can calculate cascaded and system parameters: gain, noise, sensitivity, input and output P1dB and IP3, noise floor, spur-free dynamic range, MDS, SNR, and others. Sources code: https://github.com/arhiv6/rxcalc Binary package: http://sourceforge.net/projects/rxcalc/
    Downloads: 4 This Week
    Last Update:
    See Project
  • 16
    JSDAI is a toolkit for STEP (ISO 10303), the STandard for the Exchange of Product Model data, that enables linking of CAD, CAM, PDM, PLM, CAx systems. JSDAI supports the development of Express data models (ISO 10303-11) and their implementation in Java.
    Downloads: 2 This Week
    Last Update:
    See Project
  • 17
    dvkit

    dvkit

    Eclipse-based IDE for design verification tasks

    DVKit provides an Eclipse-based integrated development environment (IDE) for common design-verification tasks, such as developing SystemVerilog, C++, TCL, Python, and shell code
    Downloads: 16 This Week
    Last Update:
    See Project
  • 18
    Convert C++ software programs into synthesisable Verilog using the Clang compiler frontend to parse and SystemC for intermediates.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 19
    Eclipse Verilog editor is a plugin for the Eclipse IDE. It provides Verilog(IEEE-1364) and VHDL language specific code viewer, contents outline, code assist etc. It helps coding and debugging in hardware development based on Verilog or VHDL.
    Downloads: 20 This Week
    Last Update:
    See Project
  • 20
    xc3sprog is a suite of utilities for programming Xilinx FPGAs, CPLDs, and EEPROMs with the Xilinx Parallel Cable and other JTAG adapters under linux. Originally based on code written by Andrew Rogers (http://www.rogerstech.co.uk/ ).
    Leader badge
    Downloads: 18 This Week
    Last Update:
    See Project
  • 21
    NetlistViewer

    NetlistViewer

    SPICE netlist visualizer

    Please go to https://github.com/f18m/netlist-viewer for most updated code
    Leader badge
    Downloads: 26 This Week
    Last Update:
    See Project
  • 22

    pyGerber2Gcode

    Python Gerber to G-code converter

    pyGerber2Gcode is a Pyhon based simple Gerber to G-code converter.
    Downloads: 4 This Week
    Last Update:
    See Project
  • 23
    bel_fft

    bel_fft

    FFT co-processor in Verilog based on the KISS FFT

    bel_fft is a FFT co-processor that can calculate FFTs with arbitrary radix. It is a hardware implementation of the free software Kiss FFT ("Keep it simple, Stupid!"). The target was to allow a simple replacement of the software code with the hardware implementation. Therefore bel_fft comes with a software driver that is compatible with the Kiss FFT routines. bel_fft also has a modular architecture and allows interfacing different bus architectures. So far AMBA AXI, Altera's Avalon bus...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 24
    adms
    ADMS is a code generator that converts electrical compact device models specified in high-level description language into ready-to-compile c code for the API of spice simulators. Repository migrated to: https://github.com/Qucs/ADMS For checkout do: git clone https://github.com/Qucs/ADMS.git
    Leader badge
    Downloads: 14 This Week
    Last Update:
    See Project
  • 25
    GNUSim8085 is a simulator and assembler for the Intel 8085 Microprocessor. For downloading latest release please head to the website - https://gnusim8085.github.io/ For source code - https://github.com/GNUSim8085/GNUSim8085
    Leader badge
    Downloads: 593 This Week
    Last Update:
    See Project
  • Previous
  • You're on page 1
  • 2
  • 3
  • Next