SVEditor is an Eclipse-based IDE (Integrated Development Environment) for SystemVerilog and Verilog files. It features syntax coloring, content assist, source indent and auto-indent, and structure display.

Features

  • SystemVerilog source index
  • Syntax coloring editor
  • Content assist
  • Cross-linking between data structure usage and declaration
  • SystemVerilog editor
  • Class Hierarchy View

Project Samples

Project Activity

See All Activity >

License

Eclipse Public License

Follow SVEditor

SVEditor Web Site

You Might Also Like
Build Securely on Azure with Proven Frameworks Icon
Build Securely on Azure with Proven Frameworks

Lay a foundation for success with Tested Reference Architectures developed by Fortinet’s experts. Learn more in this white paper.

Moving to the cloud brings new challenges. How can you manage a larger attack surface while ensuring great network performance? Turn to Fortinet’s Tested Reference Architectures, blueprints for designing and securing cloud environments built by cybersecurity experts. Learn more and explore use cases in this white paper.
Rate This Project
Login To Rate This Project

User Ratings

★★★★★
★★★★
★★★
★★
19
0
0
0
0
ease 1 of 5 2 of 5 3 of 5 4 of 5 5 of 5 4 / 5
features 1 of 5 2 of 5 3 of 5 4 of 5 5 of 5 5 / 5
design 1 of 5 2 of 5 3 of 5 4 of 5 5 of 5 4 / 5
support 1 of 5 2 of 5 3 of 5 4 of 5 5 of 5 4 / 5

User Reviews

There are no 5 star reviews.

Additional Project Details

Intended Audience

Developers

User Interface

Eclipse

Programming Language

Java

Related Categories

Java Electronic Design Automation (EDA) Software

Registered

2008-06-09