Showing 706 open source projects for "electronic design"

View related business solutions
  • Claims Processing solution for healthcare practitioners. Icon
    Claims Processing solution for healthcare practitioners.

    Very easy to use for medical, dental and therapy offices.

    Speedy Claims became the top CMS-1500 Software by providing the best customer service imaginable to our thousands of clients all over America. Medical billing isn't the kind of thing most people get excited about - it is just a tedious task you have to do. But while it will never be a fun task, it doesn't have to be as difficult or time consumimg as it is now. With Speedy Claims CMS-1500 software you can get the job done quickly and easily, allowing you to focus on the things you love about your job, like helping patients. With a simple interface, powerful features to eliminate repetitive work, and unrivaled customer support, it's simply the best HCFA 1500 software available on the market. A powerful built-in error checking helps ensure your HCFA 1500 form is complete and correctly filled out, preventing CMS-1500 claims from being denied.
  • All-in-One Payroll and HR Platform Icon
    All-in-One Payroll and HR Platform

    For small and mid-sized businesses that need a comprehensive payroll and HR solution with personalized support

    We design our technology to make workforce management easier. APS offers core HR, payroll, benefits administration, attendance, recruiting, employee onboarding, and more.
  • 1
    LibrePCB

    LibrePCB

    A powerful, innovative and intuitive EDA suite for everyone

    LibrePCB is a free, cross-platform, easy-to-use electronic design automation suite to draw schematics and design printed circuit boards – for makers, students, and professionals, from beginners to experts. LibrePCB is developed with portability in mind to make it run on virtually any computer – including Windows, Linux, and macOS. It’s available in 22 different languages, and it doesn’t cost any money. Simple, intuitive, well-known user-interface concepts help to get started with LibrePCB very...
    Downloads: 5 This Week
    Last Update:
    See Project
  • 2
    OpenSign

    OpenSign

    🔥 The free & Open Source DocuSign alternative

    The premier open source document signing solution (DocuSign alternative). Welcome to OpenSign, the premier open source docusign alternative - document e-signing solution designed to provide a secure, reliable and free alternative to commercial esign platforms like DocuSign, PandaDoc, SignNow, Adobe Sign, Smartwaiver, SignRequest, HelloSign & Zoho sign. Our mission is to democratize the document signing process, making it accessible and straightforward for everyone.
    Downloads: 3 This Week
    Last Update:
    See Project
  • 3
    Digital Logic Design

    Digital Logic Design

    Digital Circuits Design and Simulation

    DLD V 2.0 Released Digital Logic Design is a Software tool for designing and simulating digital circuits. It provides digital parts ranging from simple gates to Arithmetic Logic Unit. You may start your circuit from simple gates and flipflops and keep on converting them into ICs. These ICs, later on, may be incorporated into other circuits to built more complex circuits like CPU. You may even use SOP expressions to generate digital circuits in IC form. You can use this software to design...
    Leader badge
    Downloads: 131 This Week
    Last Update:
    See Project
  • 4
    ngspice
    Ngspice project aims to improve the spice3f5 circuit simulator.
    Leader badge
    Downloads: 1,633 This Week
    Last Update:
    See Project
  • Finance Automation that puts you in charge Icon
    Finance Automation that puts you in charge

    Tipalti delivers smart payables that elevate modern business.

    Our robust pre-built connectors and our no-code, drag-and-drop interface makes it easy and fast to automatically sync vendors, invoices, and invoice payment data between Tipalti and your ERP or accounting software.
  • 5
    Quite Universal Circuit Simulator

    Quite Universal Circuit Simulator

    A circuit simulator with graphical user interface (GUI)

    Qucs is a circuit simulator with graphical user interface. The software aims to support all kinds of circuit simulation types, e.g. DC, AC, S-parameter, Transient, Noise and Harmonic Balance analysis. Pure digital simulations are also supported.
    Leader badge
    Downloads: 1,459 This Week
    Last Update:
    See Project
  • 6
    OpenKM Document Management - DMS

    OpenKM Document Management - DMS

    Document Management System and Content Management System

    OpenKM is a electronic document management system and record management system EDRMS ( DMS, RMS, CMS ). It provides modern and flexible architecture that meet today's IT demands, based on open technology (Java, Tomcat, GWT, Lucene, Hibernate, Spring and jBPM), powerful and scalable multiplatform application. OpenKM is a Web 2.0 application that works with Internet Explorer, Firefox, Safari and Opera. Can be configured in major DMBS like Oracle, PostgreSQL and MySQL among others...
    Leader badge
    Downloads: 1,274 This Week
    Last Update:
    See Project
  • 7
    SimulIDE

    SimulIDE

    Real Time Electronic Circuit Simulator.

    Real Time Electronic Circuit Simulator. Include PIC, AVR and Arduino simulation. Code Editor & Debugger for Arduino, GcBasic, PIC asm, AVR asm. Downloads: https://simulide.com/p/downloads/ WebSite: https://simulide.com Forum: https://simulide.com/p/forum/ Patreon: https://www.patreon.com/simulide AVR simulation provided by simavr: https://github.com/buserror/simavr PIC simulation provided by GpSim: http://gpsim.sourceforge.net/
    Leader badge
    Downloads: 376 This Week
    Last Update:
    See Project
  • 8
    PICSimLab - Prog. IC Simulator Lab.

    PICSimLab - Prog. IC Simulator Lab.

    PICSimLab is a realtime emulator for PIC, Arduino, STM32, ESP32, ...

    PICSimLab is a realtime emulator of development boards with MPLABX/avr-gdb debugger integration. PICSimLab supports microcontrollers from picsim, simavr, uCsim, qemu-stm32, qemu-esp32, and gpsim. PICSimLab has integration with MPLABX/Arduino IDE for programming the microcontroller's boards. As the purpose of PICSimLab is to emulate real hardware it does not have any source code editing support. For code editing and debugging the same tools used for a real board should be used with PICSimLab,...
    Leader badge
    Downloads: 394 This Week
    Last Update:
    See Project
  • 9
    Icarus Verilog is an open source Verilog compiler that supports the IEEE-1364 Verilog HDL including IEEE1364-2005 plus extensions.
    Leader badge
    Downloads: 226 This Week
    Last Update:
    See Project
  • Multi-Site Network and Cloud Connectivity for Businesses Icon
    Multi-Site Network and Cloud Connectivity for Businesses

    Internet connectivity without complexity

    As your users rely more and more on Cloud and Internet-based technologies, reliable internet connectivity becomes more and more important to your business. With Bigleaf’s proven SD-WAN architecture, groundbreaking AI, and DDoS attack mitigation, you can finally deliver the reliable internet connectivity your business needs without the limitations of traditional networking platforms. Bigleaf’s Cloud Access Network and plug-and-play router allow for limitless control to and from anywhere your traffic needs to go. Bigleaf’s self-driving AI automatically identifies and adapts to any changing circuit conditions and traffic needs—addressing issues before they impact your users. Bigleaf puts you in the driver’s seat of every complaint and support call with full-path traffic and network performance data, delivered as actionable insights, reports, and alerts.
  • 10
    gputils is a collection of tools for Microchip PIC microcontrollers. Its goal is to be fully compatible with Microchip's tools, MPASM, MPLINK, and MPLIB.
    Leader badge
    Downloads: 173 This Week
    Last Update:
    See Project
  • 11
    KiCad EDA

    KiCad EDA

    A Cross Platform and Open Source Electronics Design Automation Suite

    Leader badge
    Downloads: 187 This Week
    Last Update:
    See Project
  • 12
    LogicalDOC Document Management - DMS

    LogicalDOC Document Management - DMS

    smart and open source document management system

    LogicalDOC is both document management and collaboration system. The software is loaded with many functions and allows organizing, index, retrieving, controlling and distributing important business documents securely and safely for any organization and individual. Gone are the days when companies used paper-based processes such as printing, mailing and manual filing of paper documents; our document management system replaces all of this with electronic procedures that allow your organization...
    Leader badge
    Downloads: 332 This Week
    Last Update:
    See Project
  • 13
    gpsim - The gnupic Simulator
    gpsim is an open sourced simulator for Microchip's PIC microcontrollers. It supports all three families of PICs: 12-bit, 14-bit, and 16-bit cores. See also gputils http://gputils.sourceforge.net/
    Leader badge
    Downloads: 109 This Week
    Last Update:
    See Project
  • 14

    KiCad-AppImage

    Repository for KiCad AppImage

    This project hosts the KiCad AppImages built using pkg2appimage. Please visit https://github.com/CyberCircuits/kicad-appimage for details.
    Leader badge
    Downloads: 39 This Week
    Last Update:
    See Project
  • 15
    UrJTAG aims to create an enhanced, modern tool for communicating over JTAG with flash chips, CPUs, and many more. It is a descendant of the popular openwince JTAG tools with a lot of additional features and enhancements.
    Leader badge
    Downloads: 99 This Week
    Last Update:
    See Project
  • 16
    KiCad EDA Portable

    KiCad EDA Portable

    KiCad EDA Suite in PortableApps.com format

    KiCad Portable is the Open-Source Electronic Design Automation Suite that facilitates the design of schematics for electronic circuits and their conversion to PCB designs packed as a portable app so you can do your CAD design on the go. It has all the same features as KiCad, plus, it leaves no personal information behind on the machine you run it on, so you can take it with you wherever you go. Learn more about KiCad visit https://www.kicad.org
    Leader badge
    Downloads: 41 This Week
    Last Update:
    See Project
  • 17
    Printed Circuit Board Layout Tool
    PCB is a tool for the layout of printed circuit boards. PCB can produce industry standard RS-274X and Excellon NC-Drill format output for submission to board manufacturers.
    Leader badge
    Downloads: 81 This Week
    Last Update:
    See Project
  • 18
    VeroRoute

    VeroRoute

    Qt based Veroboard, Perfboard, and PCB layout and routing application

    Cross-platform software for producing Veroboard (stripboard), Perfboard, and 1-layer or 2-layer PCB layouts. Automatically prevents short-circuits and checks for open-circuits. Pre-compiled versions available for MS Windows and 64-bit Linux Mint 20.3 (should also run on other 64-bit Linux systems that are based on Debian and support Qt version >= 5.12.8). Android APK available (tested on Android 7 and Android 10) and requires device resolution of at least 1280x800.
    Leader badge
    Downloads: 92 This Week
    Last Update:
    See Project
  • 19

    AUDio MEasurement System

    PC based Oscilloscope and Spectrum analyzer using sound card

    AUDio MEasurement System - a multi-platfrom system for audio measurement through sound card in the PC. It contains: generator, oscilloscope, audio spectrum analyzer (FFT) and frequency sweep plot. Compiles and works under Linux, Windows and MacOS. Source code is available in "git" and as ZIP snapshot. For more information see README.md
    Leader badge
    Downloads: 87 This Week
    Last Update:
    See Project
  • 20
    TimingEditor

    TimingEditor

    TimingEditor is a tool to graphically draw and edit timing diagrams.

    TimingEditor is a tool to graphically draw and edit timing diagrams.
    Leader badge
    Downloads: 58 This Week
    Last Update:
    See Project
  • 21
    GNU SPICE GUI provides a GUI front-end for various freely available electronic circuit simulation engines ie. NG-SPICE and GNU-CAP. It's core function is to generate simulation engine instructions based on user input. However, it also offers extra functionality via applications and utilities developed by others. Electronic Design Automation (EDA) tool suites are used to provide schematic capture and editing, and schematic to netlist conversion. Waveform data viewers are used to display...
    Leader badge
    Downloads: 31 This Week
    Last Update:
    See Project
  • 22
    CircuiTikZ Generator

    CircuiTikZ Generator

    This software is a tool for designing electronic circuits using LaTeX.

    This software is a tool for designing electronic circuits using LaTeX. With an intuitive graphical interface, you can create complex circuits quickly and easily, while the LaTeX code generator translates your designs into code compatible with the LaTeX circuitikz library.
    Leader badge
    Downloads: 25 This Week
    Last Update:
    See Project
  • 23
    Image To Gerber Converter

    Image To Gerber Converter

    Convert any image to gerber and drill files

    ImageToGerber makes it easy to convert any image to gerber files, ready to send to a PCB manufacturer. More info at: https://www.imagetopcb.com Demo video: https://youtu.be/HUvt0mOHv_M Forget about spending long hours etching and drilling at home, or learning to use complicated CAD software, schematics, layout design,… And more importantly, ImageToPCB is more than just a converter, it expands the potential of your design or image. It unlocks the possibility to easily make...
    Leader badge
    Downloads: 28 This Week
    Last Update:
    See Project
  • 24
    Gerber2PDF

    Gerber2PDF

    Gerber to PDF converter

    Gerber2PDF is a command-line tool to convert Gerber files to PDF for proofing and hobbyist printing purposes. It converts multiple Gerber files at once, placing the resulting layers each on it's own page within the PDF. Each layer has a PDF bookmark for easy reference. Layers can optionally be combined onto a single page and rendered with custom colours and transparency. There is a Drill to Gerber converter available from the downloads page.
    Leader badge
    Downloads: 41 This Week
    Last Update:
    See Project
  • 25
    Open Schematic Capture
    This project provides a analog / mixed signal IC schematic capture and layout tool with the accompanying netlisters, simulators, and verification tools.
    Leader badge
    Downloads: 19 This Week
    Last Update:
    See Project
  • Previous
  • You're on page 1
  • 2
  • 3
  • 4
  • 5
  • Next