uvm-git Mailing List for UVM (Page 3)
Status: Alpha
Brought to you by:
ambarsarkar
You can subscribe to this list here.
2010 |
Jan
|
Feb
|
Mar
|
Apr
(46) |
May
(85) |
Jun
(8) |
Jul
(1) |
Aug
(31) |
Sep
(46) |
Oct
(125) |
Nov
(226) |
Dec
(61) |
---|---|---|---|---|---|---|---|---|---|---|---|---|
2011 |
Jan
(195) |
Feb
(66) |
Mar
(27) |
Apr
(110) |
May
(45) |
Jun
(22) |
Jul
(16) |
Aug
(25) |
Sep
(102) |
Oct
(85) |
Nov
(72) |
Dec
(6) |
2012 |
Jan
(5) |
Feb
(21) |
Mar
(33) |
Apr
(115) |
May
(53) |
Jun
(1) |
Jul
(30) |
Aug
(60) |
Sep
(30) |
Oct
(19) |
Nov
(37) |
Dec
(6) |
2013 |
Jan
(32) |
Feb
(21) |
Mar
(13) |
Apr
(23) |
May
(15) |
Jun
(22) |
Jul
(65) |
Aug
(31) |
Sep
(40) |
Oct
(42) |
Nov
(51) |
Dec
(38) |
2014 |
Jan
(15) |
Feb
(24) |
Mar
(10) |
Apr
(14) |
May
(47) |
Jun
(6) |
Jul
|
Aug
(2) |
Sep
(7) |
Oct
|
Nov
|
Dec
|
2015 |
Jan
|
Feb
(6) |
Mar
|
Apr
(2) |
May
|
Jun
(1) |
Jul
|
Aug
|
Sep
|
Oct
|
Nov
|
Dec
|
2016 |
Jan
|
Feb
|
Mar
|
Apr
|
May
|
Jun
|
Jul
|
Aug
|
Sep
|
Oct
(2) |
Nov
|
Dec
|
From: Uwe S. <uw...@us...> - 2014-05-15 10:49:01
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2 has been created at c4dbde524a5b65b88709c2235833171d61163fb0 (commit) - Log ----------------------------------------------------------------- commit c4dbde524a5b65b88709c2235833171d61163fb0 Author: Justin Refice <jr...@nv...> Date: Wed May 14 10:26:29 2014 -0700 Documented the singleton accessors for uvm_*_phase Corrected connect_ph/run_ph to the singleton accessors. commit ff29d3d02a38fa71861061ee32bd019ceff5b715 Author: Justin Refice <jr...@nv...> Date: Mon May 5 12:45:11 2014 -0700 few more "an uvm" fixes commit 82f8c03bc5e208f3b4fbbcdc6e475237ea888efd Author: Justin Refice <jr...@nv...> Date: Mon May 5 12:38:50 2014 -0700 Fixes from the spreadsheet lines 601-800 Other fixes from "an UVM..." to "a UVM..." commit 08b959819f4aa1c957cef945fa1390f4c7ca1584 Author: uwes <uw...@ca...> Date: Tue May 6 15:27:28 2014 +0300 few more typo fixes commit 7f4f8f100054b7a7ef7f85108cbb59568aeeb868 Author: uwes <uw...@ca...> Date: Tue May 6 14:16:29 2014 +0300 fixed typos commit a02f4b80eb741bc14929dcb81025c20a37e8294c Author: Tom Fitzpatrick <tfitzpat@localhost.localdomain> Date: Wed Apr 23 10:02:02 2014 -0700 Fixed typos reported to Accellera Board BRANCH WAS CREATED ----------------------------------------------------------------------- hooks/post-receive -- uvm |
From: Uwe S. <uw...@us...> - 2014-05-15 10:48:48
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2 has been deleted was ac2edebee7a54475b619f5ef280dc2c98df45469 ----------------------------------------------------------------------- BRANCH WAS DELETED ----------------------------------------------------------------------- hooks/post-receive -- uvm |
From: Uwe S. <uw...@us...> - 2014-05-15 10:43:45
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2_TYPO_FIXES_MENT has been created at a7e45e406780958441c58ecf291aac421b43e211 (commit) - Log ----------------------------------------------------------------- commit a7e45e406780958441c58ecf291aac421b43e211 Author: Tom Fitzpatrick <tfitzpat@localhost.localdomain> Date: Wed May 14 10:35:10 2014 -0700 Typo changes Conflicts: distrib/docs/html/src/base/uvm_object.svh distrib/docs/html/src/overviews/containers.txt distrib/docs/html/src/overviews/intro.txt BRANCH WAS CREATED ----------------------------------------------------------------------- hooks/post-receive -- uvm |
From: Uwe S. <uw...@us...> - 2014-05-15 10:43:14
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2_TYPO_FIXES_MENT has been deleted was 86b9024734f803c69cbe6536b98b106c98b6641e ----------------------------------------------------------------------- BRANCH WAS DELETED ----------------------------------------------------------------------- hooks/post-receive -- uvm |
From: Mark S. <ev...@us...> - 2014-05-14 18:44:42
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2_DEFAULT_FIX has been updated via 42dc088f825b31b6d62515d5bf30d9294d75d693 (commit) from d520a42ecf837683fabd2209d2d7557a36a23c62 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit 42dc088f825b31b6d62515d5bf30d9294d75d693 Author: Mark Strickland <mas...@ci...> Date: Wed May 14 14:42:25 2014 -0400 Fixing spelling errors noted in email from Martin Barnasconi ----------------------------------------------------------------------- Summary of changes: distrib/src/base/uvm_config_db.svh | 2 +- distrib/src/base/uvm_phase.svh | 4 ++-- distrib/src/base/uvm_report_server.svh | 2 +- distrib/src/base/uvm_root.svh | 4 ++-- distrib/src/reg/uvm_reg_cbs.svh | 2 +- distrib/src/seq/uvm_sequence_item.svh | 2 +- distrib/src/seq/uvm_sequencer.svh | 2 +- distrib/src/seq/uvm_sequencer_base.svh | 4 ++-- distrib/src/tlm1/uvm_sqr_ifs.svh | 16 ++++++++-------- distrib/src/tlm2/uvm_tlm2_ports.svh | 2 +- uvm_ref/tlm_ifs_and_ports.txt | 4 ++-- 11 files changed, 22 insertions(+), 22 deletions(-) hooks/post-receive -- uvm |
From: Tom F. <tf...@us...> - 2014-05-14 17:44:25
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2 has been updated via ac2edebee7a54475b619f5ef280dc2c98df45469 (commit) via f88a4061dc5aed9d4aa80fbbb0a0fca55edcf581 (commit) via aa9d1c83db0730282e1d7c407c3b7d57b74c484e (commit) via ffa49a02f72ea585629918498cdc44aaf9d04235 (commit) via 9c279b93e39cdb08b4bb693c85d0f04e62b72f87 (commit) via e6980100360f30389bc30803101d01ef97e8bf98 (commit) from c4dbde524a5b65b88709c2235833171d61163fb0 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit ac2edebee7a54475b619f5ef280dc2c98df45469 Merge: f88a406 c4dbde5 Author: Tom Fitzpatrick <tfitzpat@localhost.localdomain> Date: Wed May 14 10:43:27 2014 -0700 Merge branch 'UVM_1_2' of ssh://git.code.sf.net/p/uvm/code into UVM_1_2 Conflicts: distrib/src/base/uvm_component.svh commit f88a4061dc5aed9d4aa80fbbb0a0fca55edcf581 Merge: aa9d1c8 5f151cc Author: Tom Fitzpatrick <tfitzpat@localhost.localdomain> Date: Mon May 5 12:17:42 2014 -0700 Merge branch 'UVM_1_2' of ssh://git.code.sf.net/p/uvm/code into UVM_1_2 trying to fix detached head commit aa9d1c83db0730282e1d7c407c3b7d57b74c484e Merge: ffa49a0 9c279b9 Author: Tom Fitzpatrick <tfitzpat@localhost.localdomain> Date: Mon May 5 12:13:58 2014 -0700 Merge commit '9c279b9' into HEAD Trying to fix 'detached' git branch problem commit ffa49a02f72ea585629918498cdc44aaf9d04235 Author: Tom Fitzpatrick <tfitzpat@localhost.localdomain> Date: Wed Apr 23 10:02:02 2014 -0700 Fixed typos reported to Accellera Board commit 9c279b93e39cdb08b4bb693c85d0f04e62b72f87 Author: Tom Fitzpatrick <tfitzpat@localhost.localdomain> Date: Wed Apr 23 10:02:02 2014 -0700 Fixed typos reported to Accellera Board commit e6980100360f30389bc30803101d01ef97e8bf98 Author: uwes <uw...@ca...> Date: Fri Apr 11 15:15:19 2014 +0300 commited docs for UVM_1_2_RELEASE ----------------------------------------------------------------------- Summary of changes: distrib/docs/html/files/base/uvm_barrier-svh.html | 263 ++ .../html/files/base/uvm_bottomup_phase-svh.html | 177 + distrib/docs/html/files/base/uvm_callback-svh.html | 835 +++++ .../html/files/base/uvm_cmdline_processor-svh.html | 512 +++ .../html/files/base/uvm_common_phases-svh.html | 542 +++ distrib/docs/html/files/base/uvm_comparer-svh.html | 482 +++ .../docs/html/files/base/uvm_component-svh.html | 3145 ++++++++++++++++ .../docs/html/files/base/uvm_config_db-svh.html | 440 +++ .../docs/html/files/base/uvm_coreservice-svh.html | 421 +++ distrib/docs/html/files/base/uvm_domain-svh.html | 235 ++ distrib/docs/html/files/base/uvm_event-svh.html | 471 +++ .../html/files/base/uvm_event_callback-svh.html | 206 ++ distrib/docs/html/files/base/uvm_factory-svh.html | 1825 ++++++++++ distrib/docs/html/files/base/uvm_globals-svh.html | 849 +++++ .../docs/html/files/base/uvm_heartbeat-svh.html | 275 ++ distrib/docs/html/files/base/uvm_links-svh.html | 997 +++++ distrib/docs/html/files/base/uvm_misc-svh.html | 210 ++ distrib/docs/html/files/base/uvm_object-svh.html | 1376 +++++++ .../html/files/base/uvm_object_globals-svh.html | 537 +++ .../docs/html/files/base/uvm_objection-svh.html | 951 +++++ distrib/docs/html/files/base/uvm_packer-svh.html | 792 ++++ distrib/docs/html/files/base/uvm_phase-svh.html | 1338 +++++++ distrib/docs/html/files/base/uvm_pool-svh.html | 667 ++++ .../docs/html/files/base/uvm_port_base-svh.html | 782 ++++ distrib/docs/html/files/base/uvm_printer-svh.html | 1064 ++++++ distrib/docs/html/files/base/uvm_queue-svh.html | 362 ++ distrib/docs/html/files/base/uvm_recorder-svh.html | 1594 ++++++++ distrib/docs/html/files/base/uvm_registry-svh.html | 609 ++++ .../html/files/base/uvm_report_catcher-svh.html | 1193 ++++++ .../html/files/base/uvm_report_handler-svh.html | 229 ++ .../html/files/base/uvm_report_message-svh.html | 1632 +++++++++ .../html/files/base/uvm_report_object-svh.html | 1243 +++++++ .../html/files/base/uvm_report_server-svh.html | 1264 +++++++ distrib/docs/html/files/base/uvm_resource-svh.html | 1769 +++++++++ .../docs/html/files/base/uvm_resource_db-svh.html | 664 ++++ distrib/docs/html/files/base/uvm_root-svh.html | 434 +++ .../html/files/base/uvm_runtime_phases-svh.html | 676 ++++ .../docs/html/files/base/uvm_task_phase-svh.html | 221 ++ .../html/files/base/uvm_topdown_phase-svh.html | 177 + .../docs/html/files/base/uvm_tr_database-svh.html | 623 ++++ .../docs/html/files/base/uvm_tr_stream-svh.html | 723 ++++ .../docs/html/files/base/uvm_transaction-svh.html | 806 +++++ .../docs/html/files/base/uvm_traversal-svh.html | 559 +++ distrib/docs/html/files/comps/uvm_agent-svh.html | 127 + .../comps/uvm_algorithmic_comparator-svh.html | 231 ++ distrib/docs/html/files/comps/uvm_driver-svh.html | 170 + distrib/docs/html/files/comps/uvm_env-svh.html | 122 + .../files/comps/uvm_in_order_comparator-svh.html | 233 ++ distrib/docs/html/files/comps/uvm_monitor-svh.html | 114 + distrib/docs/html/files/comps/uvm_pair-svh.html | 348 ++ .../docs/html/files/comps/uvm_policies-svh.html | 338 ++ .../docs/html/files/comps/uvm_push_driver-svh.html | 170 + .../html/files/comps/uvm_random_stimulus-svh.html | 206 ++ .../docs/html/files/comps/uvm_scoreboard-svh.html | 114 + .../docs/html/files/comps/uvm_subscriber-svh.html | 181 + distrib/docs/html/files/comps/uvm_test-svh.html | 128 + .../html/files/dap/uvm_get_to_lock_dap-svh.html | 236 ++ .../html/files/dap/uvm_set_before_get_dap-svh.html | 255 ++ .../html/files/dap/uvm_set_get_dap_base-svh.html | 230 ++ .../html/files/dap/uvm_simple_lock_dap-svh.html | 284 ++ distrib/docs/html/files/dpi/uvm_hdl-svh.html | 311 ++ .../files/macros/uvm_callback_defines-svh.html | 160 + .../html/files/macros/uvm_message_defines-svh.html | 342 ++ .../html/files/macros/uvm_object_defines-svh.html | 1120 ++++++ .../html/files/macros/uvm_reg_defines-svh.html | 84 + .../files/macros/uvm_sequence_defines-svh.html | 378 ++ .../html/files/macros/uvm_tlm_defines-svh.html | 297 ++ .../html/files/macros/uvm_version_defines-svh.html | 151 + distrib/docs/html/files/overviews/base-txt.html | 45 + .../docs/html/files/overviews/cmdlineproc-txt.html | 41 + .../docs/html/files/overviews/comparators-txt.html | 39 + .../docs/html/files/overviews/components-txt.html | 41 + .../html/files/overviews/config_and_res-txt.html | 65 + .../docs/html/files/overviews/containers-txt.html | 67 + distrib/docs/html/files/overviews/dap-txt.html | 39 + distrib/docs/html/files/overviews/factory-txt.html | 93 + distrib/docs/html/files/overviews/intro-txt.html | 63 + distrib/docs/html/files/overviews/phasing-txt.html | 65 + .../docs/html/files/overviews/policies-txt.html | 53 + .../docs/html/files/overviews/recording-txt.html | 41 + .../docs/html/files/overviews/registers-txt.html | 39 + .../docs/html/files/overviews/relnotes-txt.html | 1727 +++++++++ .../docs/html/files/overviews/reporting-txt.html | 45 + .../docs/html/files/overviews/sequencers-txt.html | 121 + .../docs/html/files/overviews/sequences-txt.html | 57 + distrib/docs/html/files/overviews/synchro-txt.html | 77 + .../html/files/overviews/test-phasing-txt.html | 77 + distrib/docs/html/files/overviews/tlm1-txt.html | 323 ++ distrib/docs/html/files/overviews/tlm2-txt.html | 63 + .../files/overviews/tlm_ifs_and_ports-txt.html | 75 + .../reg/sequences/uvm_mem_access_seq-svh.html | 283 ++ .../files/reg/sequences/uvm_mem_walk_seq-svh.html | 344 ++ .../reg/sequences/uvm_reg_access_seq-svh.html | 348 ++ .../reg/sequences/uvm_reg_bit_bash_seq-svh.html | 283 ++ .../reg/sequences/uvm_reg_hw_reset_seq-svh.html | 176 + .../sequences/uvm_reg_mem_built_in_seq-svh.html | 130 + .../sequences/uvm_reg_mem_hdl_paths_seq-svh.html | 123 + .../uvm_reg_mem_shared_access_seq-svh.html | 376 ++ distrib/docs/html/files/reg/uvm_mem-svh.html | 2438 +++++++++++++ distrib/docs/html/files/reg/uvm_mem_mam-svh.html | 1762 +++++++++ distrib/docs/html/files/reg/uvm_reg-svh.html | 2776 ++++++++++++++ .../docs/html/files/reg/uvm_reg_adapter-svh.html | 396 ++ .../docs/html/files/reg/uvm_reg_backdoor-svh.html | 485 +++ distrib/docs/html/files/reg/uvm_reg_block-svh.html | 2776 ++++++++++++++ distrib/docs/html/files/reg/uvm_reg_cbs-svh.html | 939 +++++ distrib/docs/html/files/reg/uvm_reg_field-svh.html | 1719 +++++++++ distrib/docs/html/files/reg/uvm_reg_fifo-svh.html | 595 +++ distrib/docs/html/files/reg/uvm_reg_file-svh.html | 472 +++ .../docs/html/files/reg/uvm_reg_indirect-svh.html | 210 ++ distrib/docs/html/files/reg/uvm_reg_item-svh.html | 534 +++ distrib/docs/html/files/reg/uvm_reg_map-svh.html | 1646 +++++++++ distrib/docs/html/files/reg/uvm_reg_model-svh.html | 461 +++ .../docs/html/files/reg/uvm_reg_predictor-svh.html | 341 ++ .../docs/html/files/reg/uvm_reg_sequence-svh.html | 1997 ++++++++++ distrib/docs/html/files/reg/uvm_vreg-svh.html | 1753 +++++++++ .../docs/html/files/reg/uvm_vreg_field-svh.html | 1215 +++++++ .../html/files/seq/uvm_push_sequencer-svh.html | 233 ++ distrib/docs/html/files/seq/uvm_sequence-svh.html | 295 ++ .../docs/html/files/seq/uvm_sequence_base-svh.html | 1280 +++++++ .../docs/html/files/seq/uvm_sequence_item-svh.html | 871 +++++ .../html/files/seq/uvm_sequence_library-svh.html | 402 ++ distrib/docs/html/files/seq/uvm_sequencer-svh.html | 444 +++ .../html/files/seq/uvm_sequencer_base-svh.html | 729 ++++ .../files/seq/uvm_sequencer_param_base-svh.html | 411 +++ .../html/files/tlm1/uvm_analysis_port-svh.html | 338 ++ distrib/docs/html/files/tlm1/uvm_exports-svh.html | 140 + distrib/docs/html/files/tlm1/uvm_imps-svh.html | 149 + distrib/docs/html/files/tlm1/uvm_ports-svh.html | 137 + .../html/files/tlm1/uvm_sqr_connections-svh.html | 297 ++ distrib/docs/html/files/tlm1/uvm_sqr_ifs-svh.html | 417 +++ .../html/files/tlm1/uvm_tlm_fifo_base-svh.html | 210 ++ .../docs/html/files/tlm1/uvm_tlm_fifos-svh.html | 323 ++ distrib/docs/html/files/tlm1/uvm_tlm_ifs-svh.html | 486 +++ .../docs/html/files/tlm1/uvm_tlm_req_rsp-svh.html | 417 +++ .../docs/html/files/tlm2/uvm_tlm2_defines-svh.html | 75 + .../docs/html/files/tlm2/uvm_tlm2_exports-svh.html | 249 ++ .../files/tlm2/uvm_tlm2_generic_payload-svh.html | 1276 +++++++ distrib/docs/html/files/tlm2/uvm_tlm2_ifs-svh.html | 283 ++ .../docs/html/files/tlm2/uvm_tlm2_imps-svh.html | 317 ++ .../docs/html/files/tlm2/uvm_tlm2_ports-svh.html | 249 ++ .../docs/html/files/tlm2/uvm_tlm2_sockets-svh.html | 876 +++++ .../html/files/tlm2/uvm_tlm2_sockets_base-svh.html | 542 +++ .../docs/html/files/tlm2/uvm_tlm2_time-svh.html | 424 +++ .../docs/html}/images/bg_column_green.gif | Bin 441 -> 441 bytes .../docs/html}/images/bg_column_green_grey.gif | Bin 87 -> 87 bytes .../docs/html}/images/bg_feature.jpg | Bin 22996 -> 22996 bytes .../docs/html}/images/bg_h3_roundcorners.gif | Bin 145 -> 145 bytes .../docs/html}/images/bg_main.gif | Bin 965 -> 965 bytes .../docs/html}/images/bg_masthead.jpg | Bin 11997 -> 11997 bytes .../docs/html}/images/bg_navbar.gif | Bin 18812 -> 18812 bytes .../docs/html}/images/bg_roundcorners2.gif | Bin 333 -> 333 bytes .../docs/html}/images/bg_tableheader.gif | Bin 169 -> 169 bytes .../docs/html}/images/bg_thick_grey_bar.gif | Bin 254 -> 254 bytes .../docs/html}/images/bullet_GreenOnGrey.gif | Bin 570 -> 570 bytes .../docs/html/images}/uvm_ref_base.gif | Bin 4444 -> 4444 bytes .../docs/html/images}/uvm_ref_comparators.gif | Bin 7795 -> 7795 bytes .../docs/html/images}/uvm_ref_components.gif | Bin 12732 -> 12732 bytes .../docs/html/images}/uvm_ref_factory.gif | Bin 4849 -> 4849 bytes .../docs/html/images}/uvm_ref_phases_uml.gif | Bin 6858 -> 6858 bytes .../docs/html/images}/uvm_ref_recording.gif | Bin 18568 -> 18568 bytes .../docs/html/images}/uvm_ref_reg_class_map.gif | Bin 13528 -> 13528 bytes .../docs/html/images}/uvm_ref_reporting.gif | Bin 5519 -> 5519 bytes .../docs/html/images}/uvm_ref_root.gif | Bin 2933 -> 2933 bytes .../docs/html/images}/uvm_ref_seq_item_ports.gif | Bin 9395 -> 9395 bytes .../docs/html/images}/uvm_ref_sequence.gif | Bin 8290 -> 8290 bytes .../docs/html/images}/uvm_ref_sequencer.gif | Bin 6966 -> 6966 bytes .../docs/html/images}/uvm_ref_sync.gif | Bin 3329 -> 3329 bytes .../docs/html/images}/uvm_ref_tlm_analysis_if.gif | Bin 1743 -> 1743 bytes .../docs/html/images}/uvm_ref_tlm_bidir_ports.gif | Bin 8191 -> 8191 bytes .../docs/html/images}/uvm_ref_tlm_get_peek_ifs.gif | Bin 15520 -> 15520 bytes .../docs/html/images}/uvm_ref_tlm_hierarchy.gif | Bin 7588 -> 7588 bytes .../html/images}/uvm_ref_tlm_master_slave_ifs.gif | Bin 25395 -> 25395 bytes .../docs/html/images}/uvm_ref_tlm_put_ifs.gif | Bin 4464 -> 4464 bytes .../html/images}/uvm_ref_tlm_transport_ifs.gif | Bin 5597 -> 5597 bytes .../docs/html/images}/uvm_ref_tlm_uni_ports.gif | Bin 7105 -> 7105 bytes distrib/docs/html/index.html | 34 + distrib/docs/html/index/Classes.html | 1626 +++++++++ distrib/docs/html/index/Constants.html | 37 + distrib/docs/html/index/Files.html | 47 + distrib/docs/html/index/General.html | 1356 +++++++ distrib/docs/html/index/General10.html | 274 ++ distrib/docs/html/index/General11.html | 3015 ++++++++++++++++ distrib/docs/html/index/General12.html | 950 +++++ distrib/docs/html/index/General2.html | 2402 ++++++++++++ distrib/docs/html/index/General3.html | 336 ++ distrib/docs/html/index/General4.html | 2582 +++++++++++++ distrib/docs/html/index/General5.html | 1036 ++++++ distrib/docs/html/index/General6.html | 1497 ++++++++ distrib/docs/html/index/General7.html | 2337 ++++++++++++ distrib/docs/html/index/General8.html | 1441 ++++++++ distrib/docs/html/index/General9.html | 2603 +++++++++++++ distrib/docs/html/index/Macros.html | 32 + distrib/docs/html/index/Methods.html | 2256 ++++++++++++ distrib/docs/html/index/Methods2.html | 1702 +++++++++ distrib/docs/html/index/Methods3.html | 2582 +++++++++++++ distrib/docs/html/index/Methods4.html | 2419 +++++++++++++ distrib/docs/html/index/Methods5.html | 3681 +++++++++++++++++++ distrib/docs/html/index/Methods6.html | 2790 ++++++++++++++ distrib/docs/html/index/Methods7.html | 2321 ++++++++++++ distrib/docs/html/index/Ports.html | 62 + distrib/docs/html/index/Types.html | 37 + distrib/docs/html/index/Variables.html | 481 +++ .../docs/html/javascript/main.js | 0 distrib/docs/html/javascript/searchdata.js | 272 ++ {natural_docs => distrib/docs/html}/logo.html | 0 distrib/docs/html/menu.html | 25 + distrib/docs/html/search/ClassesP.html | 28 + distrib/docs/html/search/ClassesU.html | 260 ++ distrib/docs/html/search/ConstantsB.html | 29 + distrib/docs/html/search/ConstantsE.html | 29 + distrib/docs/html/search/ConstantsU.html | 159 + distrib/docs/html/search/FilesA.html | 28 + distrib/docs/html/search/FilesM.html | 28 + distrib/docs/html/search/FilesR.html | 28 + distrib/docs/html/search/FilesT.html | 30 + distrib/docs/html/search/FilesU.html | 29 + distrib/docs/html/search/GeneralA.html | 70 + distrib/docs/html/search/GeneralB.html | 68 + distrib/docs/html/search/GeneralC.html | 97 + distrib/docs/html/search/GeneralD.html | 100 + distrib/docs/html/search/GeneralE.html | 57 + distrib/docs/html/search/GeneralF.html | 59 + distrib/docs/html/search/GeneralG.html | 268 ++ distrib/docs/html/search/GeneralH.html | 40 + distrib/docs/html/search/GeneralI.html | 96 + distrib/docs/html/search/GeneralJ.html | 30 + distrib/docs/html/search/GeneralK.html | 30 + distrib/docs/html/search/GeneralL.html | 46 + distrib/docs/html/search/GeneralM.html | 152 + distrib/docs/html/search/GeneralN.html | 42 + distrib/docs/html/search/GeneralNumbers.html | 32 + distrib/docs/html/search/GeneralO.html | 39 + distrib/docs/html/search/GeneralP.html | 138 + distrib/docs/html/search/GeneralQ.html | 28 + distrib/docs/html/search/GeneralR.html | 111 + distrib/docs/html/search/GeneralS.html | 224 ++ distrib/docs/html/search/GeneralSymbols.html | 219 ++ distrib/docs/html/search/GeneralT.html | 78 + distrib/docs/html/search/GeneralU.html | 530 +++ distrib/docs/html/search/GeneralV.html | 34 + distrib/docs/html/search/GeneralW.html | 54 + distrib/docs/html/search/MacrosSymbols.html | 195 + distrib/docs/html/search/MacrosU.html | 37 + distrib/docs/html/search/MethodsA.html | 52 + distrib/docs/html/search/MethodsB.html | 42 + distrib/docs/html/search/MethodsC.html | 69 + distrib/docs/html/search/MethodsD.html | 87 + distrib/docs/html/search/MethodsE.html | 42 + distrib/docs/html/search/MethodsF.html | 47 + distrib/docs/html/search/MethodsG.html | 257 ++ distrib/docs/html/search/MethodsH.html | 33 + distrib/docs/html/search/MethodsI.html | 79 + distrib/docs/html/search/MethodsJ.html | 29 + distrib/docs/html/search/MethodsK.html | 28 + distrib/docs/html/search/MethodsL.html | 38 + distrib/docs/html/search/MethodsM.html | 34 + distrib/docs/html/search/MethodsN.html | 35 + distrib/docs/html/search/MethodsO.html | 31 + distrib/docs/html/search/MethodsP.html | 101 + distrib/docs/html/search/MethodsR.html | 72 + distrib/docs/html/search/MethodsS.html | 173 + distrib/docs/html/search/MethodsT.html | 40 + distrib/docs/html/search/MethodsU.html | 66 + distrib/docs/html/search/MethodsV.html | 28 + distrib/docs/html/search/MethodsW.html | 51 + distrib/docs/html/search/NoResults.html | 22 + distrib/docs/html/search/PortsA.html | 30 + distrib/docs/html/search/PortsB.html | 29 + distrib/docs/html/search/PortsG.html | 31 + distrib/docs/html/search/PortsM.html | 28 + distrib/docs/html/search/PortsP.html | 32 + distrib/docs/html/search/PortsR.html | 33 + distrib/docs/html/search/PortsS.html | 29 + distrib/docs/html/search/PortsT.html | 28 + distrib/docs/html/search/TypesA.html | 28 + distrib/docs/html/search/TypesL.html | 28 + distrib/docs/html/search/TypesU.html | 76 + distrib/docs/html/search/VariablesA.html | 31 + distrib/docs/html/search/VariablesB.html | 35 + distrib/docs/html/search/VariablesC.html | 28 + distrib/docs/html/search/VariablesD.html | 36 + distrib/docs/html/search/VariablesE.html | 36 + distrib/docs/html/search/VariablesF.html | 32 + distrib/docs/html/search/VariablesH.html | 29 + distrib/docs/html/search/VariablesI.html | 30 + distrib/docs/html/search/VariablesK.html | 29 + distrib/docs/html/search/VariablesL.html | 31 + distrib/docs/html/search/VariablesM.html | 48 + distrib/docs/html/search/VariablesN.html | 30 + distrib/docs/html/search/VariablesO.html | 29 + distrib/docs/html/search/VariablesP.html | 38 + distrib/docs/html/search/VariablesR.html | 38 + distrib/docs/html/search/VariablesS.html | 46 + distrib/docs/html/search/VariablesSymbols.html | 44 + distrib/docs/html/search/VariablesT.html | 33 + distrib/docs/html/search/VariablesU.html | 38 + distrib/docs/html/search/VariablesV.html | 29 + distrib/{ => docs/html}/src/base/uvm_barrier.svh | 0 distrib/{ => docs/html}/src/base/uvm_base.svh | 0 .../html}/src/base/uvm_bottomup_phase.svh | 0 distrib/{ => docs/html}/src/base/uvm_callback.svh | 0 .../docs/html/src/base/uvm_cmdline_processor.svh | 461 +++ distrib/docs/html/src/base/uvm_common_phases.svh | 423 +++ distrib/docs/html/src/base/uvm_comparer.svh | 422 +++ distrib/docs/html/src/base/uvm_component.svh | 3638 +++++++++++++++++++ distrib/{ => docs/html}/src/base/uvm_config_db.svh | 0 .../{ => docs/html}/src/base/uvm_coreservice.svh | 0 distrib/{ => docs/html}/src/base/uvm_domain.svh | 0 distrib/{ => docs/html}/src/base/uvm_event.svh | 0 .../html}/src/base/uvm_event_callback.svh | 0 distrib/{ => docs/html}/src/base/uvm_factory.svh | 0 distrib/{ => docs/html}/src/base/uvm_globals.svh | 0 distrib/{ => docs/html}/src/base/uvm_heartbeat.svh | 0 distrib/{ => docs/html}/src/base/uvm_links.svh | 0 distrib/{ => docs/html}/src/base/uvm_misc.svh | 0 distrib/docs/html/src/base/uvm_object.svh | 1330 +++++++ .../html}/src/base/uvm_object_globals.svh | 0 distrib/docs/html/src/base/uvm_objection.svh | 1469 ++++++++ distrib/{ => docs/html}/src/base/uvm_packer.svh | 0 distrib/docs/html/src/base/uvm_phase.svh | 2253 ++++++++++++ distrib/{ => docs/html}/src/base/uvm_pool.svh | 0 distrib/docs/html/src/base/uvm_port_base.svh | 792 ++++ distrib/{ => docs/html}/src/base/uvm_printer.svh | 0 distrib/{ => docs/html}/src/base/uvm_queue.svh | 0 distrib/{ => docs/html}/src/base/uvm_recorder.svh | 0 distrib/docs/html/src/base/uvm_registry.svh | 380 ++ .../html}/src/base/uvm_report_catcher.svh | 0 .../html}/src/base/uvm_report_handler.svh | 0 distrib/docs/html/src/base/uvm_report_message.svh | 939 +++++ distrib/docs/html/src/base/uvm_report_object.svh | 658 ++++ distrib/docs/html/src/base/uvm_report_server.svh | 921 +++++ distrib/{ => docs/html}/src/base/uvm_resource.svh | 0 .../{ => docs/html}/src/base/uvm_resource_db.svh | 0 .../src/base/uvm_resource_specializations.svh | 0 distrib/docs/html/src/base/uvm_root.svh | 1072 ++++++ distrib/docs/html/src/base/uvm_runtime_phases.svh | 519 +++ .../{ => docs/html}/src/base/uvm_spell_chkr.svh | 0 .../{ => docs/html}/src/base/uvm_task_phase.svh | 0 .../{ => docs/html}/src/base/uvm_topdown_phase.svh | 0 .../{ => docs/html}/src/base/uvm_tr_database.svh | 0 distrib/{ => docs/html}/src/base/uvm_tr_stream.svh | 0 distrib/docs/html/src/base/uvm_transaction.svh | 780 ++++ distrib/{ => docs/html}/src/base/uvm_traversal.svh | 0 distrib/{ => docs/html}/src/base/uvm_version.svh | 0 distrib/{ => docs/html}/src/comps/uvm_agent.svh | 0 .../html/src/comps/uvm_algorithmic_comparator.svh | 134 + distrib/{ => docs/html}/src/comps/uvm_comps.svh | 0 distrib/{ => docs/html}/src/comps/uvm_driver.svh | 0 distrib/{ => docs/html}/src/comps/uvm_env.svh | 0 .../html}/src/comps/uvm_in_order_comparator.svh | 0 distrib/{ => docs/html}/src/comps/uvm_monitor.svh | 0 distrib/{ => docs/html}/src/comps/uvm_pair.svh | 0 distrib/{ => docs/html}/src/comps/uvm_policies.svh | 0 .../{ => docs/html}/src/comps/uvm_push_driver.svh | 0 .../html}/src/comps/uvm_random_stimulus.svh | 0 .../{ => docs/html}/src/comps/uvm_scoreboard.svh | 0 .../{ => docs/html}/src/comps/uvm_subscriber.svh | 0 distrib/{ => docs/html}/src/comps/uvm_test.svh | 0 distrib/{ => docs/html}/src/dap/uvm_dap.svh | 0 .../html}/src/dap/uvm_get_to_lock_dap.svh | 0 .../html}/src/dap/uvm_set_before_get_dap.svh | 0 .../html}/src/dap/uvm_set_get_dap_base.svh | 0 .../html}/src/dap/uvm_simple_lock_dap.svh | 0 .../html}/src/deprecated/readme.important | 0 .../src/deprecated/uvm_resource_converter.svh | 0 distrib/{ => docs/html}/src/dpi/uvm_common.c | 0 distrib/{ => docs/html}/src/dpi/uvm_dpi.cc | 0 distrib/{ => docs/html}/src/dpi/uvm_dpi.h | 0 distrib/{ => docs/html}/src/dpi/uvm_dpi.svh | 0 distrib/{ => docs/html}/src/dpi/uvm_hdl.c | 0 distrib/{ => docs/html}/src/dpi/uvm_hdl.svh | 0 distrib/docs/html/src/dpi/uvm_hdl_inca.c | 671 ++++ distrib/{ => docs/html}/src/dpi/uvm_hdl_questa.c | 0 distrib/{ => docs/html}/src/dpi/uvm_hdl_vcs.c | 0 distrib/{ => docs/html}/src/dpi/uvm_regex.cc | 0 distrib/{ => docs/html}/src/dpi/uvm_regex.svh | 0 distrib/{ => docs/html}/src/dpi/uvm_svcmd_dpi.c | 0 distrib/{ => docs/html}/src/dpi/uvm_svcmd_dpi.svh | 0 .../html}/src/macros/uvm_callback_defines.svh | 0 .../html}/src/macros/uvm_deprecated_defines.svh | 0 .../docs/html/src/macros/uvm_message_defines.svh | 537 +++ .../docs/html/src/macros/uvm_object_defines.svh | 3814 ++++++++++++++++++++ .../html}/src/macros/uvm_phase_defines.svh | 0 .../html}/src/macros/uvm_printer_defines.svh | 0 .../{ => docs/html}/src/macros/uvm_reg_defines.svh | 0 .../html}/src/macros/uvm_sequence_defines.svh | 0 .../{ => docs/html}/src/macros/uvm_tlm_defines.svh | 0 .../{ => docs/html}/src/macros/uvm_undefineall.svh | 0 .../html}/src/macros/uvm_version_defines.svh | 0 .../docs/html/src/overviews}/base.txt | 0 .../docs/html/src/overviews}/cmdlineproc.txt | 0 .../docs/html/src/overviews}/comparators.txt | 0 .../docs/html/src/overviews}/components.txt | 0 .../docs/html/src/overviews}/config_and_res.txt | 0 .../docs/html/src/overviews}/containers.txt | 0 .../docs/html/src/overviews}/dap.txt | 0 .../docs/html/src/overviews}/factory.txt | 0 distrib/docs/html/src/overviews/intro.txt | 103 + .../docs/html/src/overviews}/legal.txt | 0 .../docs/html/src/overviews}/phasing.txt | 0 .../docs/html/src/overviews}/policies.txt | 0 .../docs/html/src/overviews}/recording.txt | 0 .../docs/html/src/overviews}/registers.txt | 0 .../docs/html/src/overviews}/relnotes.txt | 0 .../docs/html/src/overviews}/reporting.txt | 0 .../docs/html/src/overviews}/sequencers.txt | 0 distrib/docs/html/src/overviews/sequences.txt | 24 + .../docs/html/src/overviews}/synchro.txt | 0 .../docs/html/src/overviews}/test-phasing.txt | 0 distrib/docs/html/src/overviews/tlm1.txt | 356 ++ distrib/docs/html/src/overviews/tlm2.txt | 129 + .../docs/html/src/overviews}/tlm_ifs_and_ports.txt | 0 .../html}/src/reg/sequences/uvm_mem_access_seq.svh | 0 .../html}/src/reg/sequences/uvm_mem_walk_seq.svh | 0 .../html}/src/reg/sequences/uvm_reg_access_seq.svh | 0 .../src/reg/sequences/uvm_reg_bit_bash_seq.svh | 0 .../src/reg/sequences/uvm_reg_hw_reset_seq.svh | 0 .../src/reg/sequences/uvm_reg_mem_built_in_seq.svh | 0 .../reg/sequences/uvm_reg_mem_hdl_paths_seq.svh | 0 .../sequences/uvm_reg_mem_shared_access_seq.svh | 0 distrib/{ => docs/html}/src/reg/uvm_mem.svh | 0 distrib/{ => docs/html}/src/reg/uvm_mem_mam.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg.svh | 0 .../{ => docs/html}/src/reg/uvm_reg_adapter.svh | 0 .../{ => docs/html}/src/reg/uvm_reg_backdoor.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg_block.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg_cbs.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg_field.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg_fifo.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg_file.svh | 0 .../{ => docs/html}/src/reg/uvm_reg_indirect.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg_item.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg_map.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg_model.svh | 0 .../{ => docs/html}/src/reg/uvm_reg_predictor.svh | 0 .../{ => docs/html}/src/reg/uvm_reg_sequence.svh | 0 distrib/{ => docs/html}/src/reg/uvm_vreg.svh | 0 distrib/{ => docs/html}/src/reg/uvm_vreg_field.svh | 0 distrib/docs/html/src/seq/uvm_push_sequencer.svh | 84 + distrib/{ => docs/html}/src/seq/uvm_seq.svh | 0 distrib/docs/html/src/seq/uvm_sequence.svh | 147 + distrib/docs/html/src/seq/uvm_sequence_base.svh | 1349 +++++++ .../html}/src/seq/uvm_sequence_builtin.svh | 0 distrib/docs/html/src/seq/uvm_sequence_item.svh | 497 +++ distrib/docs/html/src/seq/uvm_sequence_library.svh | 812 +++++ distrib/{ => docs/html}/src/seq/uvm_sequencer.svh | 0 .../html}/src/seq/uvm_sequencer_analysis_fifo.svh | 0 distrib/docs/html/src/seq/uvm_sequencer_base.svh | 1774 +++++++++ .../docs/html/src/seq/uvm_sequencer_param_base.svh | 459 +++ .../{ => docs/html}/src/tlm1/uvm_analysis_port.svh | 0 distrib/{ => docs/html}/src/tlm1/uvm_exports.svh | 0 distrib/{ => docs/html}/src/tlm1/uvm_imps.svh | 0 distrib/{ => docs/html}/src/tlm1/uvm_ports.svh | 0 .../html}/src/tlm1/uvm_sqr_connections.svh | 0 distrib/docs/html/src/tlm1/uvm_sqr_ifs.svh | 252 ++ distrib/{ => docs/html}/src/tlm1/uvm_tlm.svh | 0 .../{ => docs/html}/src/tlm1/uvm_tlm_fifo_base.svh | 0 distrib/docs/html/src/tlm1/uvm_tlm_fifos.svh | 238 ++ distrib/{ => docs/html}/src/tlm1/uvm_tlm_ifs.svh | 0 distrib/{ => docs/html}/src/tlm1/uvm_tlm_imps.svh | 0 .../{ => docs/html}/src/tlm1/uvm_tlm_req_rsp.svh | 0 distrib/{ => docs/html}/src/tlm2/uvm_tlm2.svh | 0 .../{ => docs/html}/src/tlm2/uvm_tlm2_defines.svh | 0 .../{ => docs/html}/src/tlm2/uvm_tlm2_exports.svh | 0 .../html/src/tlm2/uvm_tlm2_generic_payload.svh | 1052 ++++++ distrib/docs/html/src/tlm2/uvm_tlm2_ifs.svh | 177 + distrib/docs/html/src/tlm2/uvm_tlm2_imps.svh | 202 ++ .../{ => docs/html}/src/tlm2/uvm_tlm2_ports.svh | 0 distrib/docs/html/src/tlm2/uvm_tlm2_sockets.svh | 433 +++ .../docs/html/src/tlm2/uvm_tlm2_sockets_base.svh | 194 + distrib/docs/html/src/tlm2/uvm_tlm2_time.svh | 332 ++ distrib/{ => docs/html}/src/uvm.sv | 0 distrib/{ => docs/html}/src/uvm_macros.svh | 0 distrib/{ => docs/html}/src/uvm_pkg.sv | 0 .../Styles => distrib/docs/html/styles}/main.css | 0 distrib/src/base/uvm_component.svh | 10 +- 476 files changed, 156309 insertions(+), 5 deletions(-) create mode 100644 distrib/docs/html/files/base/uvm_barrier-svh.html create mode 100644 distrib/docs/html/files/base/uvm_bottomup_phase-svh.html create mode 100644 distrib/docs/html/files/base/uvm_callback-svh.html create mode 100644 distrib/docs/html/files/base/uvm_cmdline_processor-svh.html create mode 100644 distrib/docs/html/files/base/uvm_common_phases-svh.html create mode 100644 distrib/docs/html/files/base/uvm_comparer-svh.html create mode 100644 distrib/docs/html/files/base/uvm_component-svh.html create mode 100644 distrib/docs/html/files/base/uvm_config_db-svh.html create mode 100644 distrib/docs/html/files/base/uvm_coreservice-svh.html create mode 100644 distrib/docs/html/files/base/uvm_domain-svh.html create mode 100644 distrib/docs/html/files/base/uvm_event-svh.html create mode 100644 distrib/docs/html/files/base/uvm_event_callback-svh.html create mode 100644 distrib/docs/html/files/base/uvm_factory-svh.html create mode 100644 distrib/docs/html/files/base/uvm_globals-svh.html create mode 100644 distrib/docs/html/files/base/uvm_heartbeat-svh.html create mode 100644 distrib/docs/html/files/base/uvm_links-svh.html create mode 100644 distrib/docs/html/files/base/uvm_misc-svh.html create mode 100644 distrib/docs/html/files/base/uvm_object-svh.html create mode 100644 distrib/docs/html/files/base/uvm_object_globals-svh.html create mode 100644 distrib/docs/html/files/base/uvm_objection-svh.html create mode 100644 distrib/docs/html/files/base/uvm_packer-svh.html create mode 100644 distrib/docs/html/files/base/uvm_phase-svh.html create mode 100644 distrib/docs/html/files/base/uvm_pool-svh.html create mode 100644 distrib/docs/html/files/base/uvm_port_base-svh.html create mode 100644 distrib/docs/html/files/base/uvm_printer-svh.html create mode 100644 distrib/docs/html/files/base/uvm_queue-svh.html create mode 100644 distrib/docs/html/files/base/uvm_recorder-svh.html create mode 100644 distrib/docs/html/files/base/uvm_registry-svh.html create mode 100644 distrib/docs/html/files/base/uvm_report_catcher-svh.html create mode 100644 distrib/docs/html/files/base/uvm_report_handler-svh.html create mode 100644 distrib/docs/html/files/base/uvm_report_message-svh.html create mode 100644 distrib/docs/html/files/base/uvm_report_object-svh.html create mode 100644 distrib/docs/html/files/base/uvm_report_server-svh.html create mode 100644 distrib/docs/html/files/base/uvm_resource-svh.html create mode 100644 distrib/docs/html/files/base/uvm_resource_db-svh.html create mode 100644 distrib/docs/html/files/base/uvm_root-svh.html create mode 100644 distrib/docs/html/files/base/uvm_runtime_phases-svh.html create mode 100644 distrib/docs/html/files/base/uvm_task_phase-svh.html create mode 100644 distrib/docs/html/files/base/uvm_topdown_phase-svh.html create mode 100644 distrib/docs/html/files/base/uvm_tr_database-svh.html create mode 100644 distrib/docs/html/files/base/uvm_tr_stream-svh.html create mode 100644 distrib/docs/html/files/base/uvm_transaction-svh.html create mode 100644 distrib/docs/html/files/base/uvm_traversal-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_agent-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_algorithmic_comparator-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_driver-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_env-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_in_order_comparator-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_monitor-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_pair-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_policies-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_push_driver-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_random_stimulus-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_scoreboard-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_subscriber-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_test-svh.html create mode 100644 distrib/docs/html/files/dap/uvm_get_to_lock_dap-svh.html create mode 100644 distrib/docs/html/files/dap/uvm_set_before_get_dap-svh.html create mode 100644 distrib/docs/html/files/dap/uvm_set_get_dap_base-svh.html create mode 100644 distrib/docs/html/files/dap/uvm_simple_lock_dap-svh.html create mode 100644 distrib/docs/html/files/dpi/uvm_hdl-svh.html create mode 100644 distrib/docs/html/files/macros/uvm_callback_defines-svh.html create mode 100644 distrib/docs/html/files/macros/uvm_message_defines-svh.html create mode 100644 distrib/docs/html/files/macros/uvm_object_defines-svh.html create mode 100644 distrib/docs/html/files/macros/uvm_reg_defines-svh.html create mode 100644 distrib/docs/html/files/macros/uvm_sequence_defines-svh.html create mode 100644 distrib/docs/html/files/macros/uvm_tlm_defines-svh.html create mode 100644 distrib/docs/html/files/macros/uvm_version_defines-svh.html create mode 100644 distrib/docs/html/files/overviews/base-txt.html create mode 100644 distrib/docs/html/files/overviews/cmdlineproc-txt.html create mode 100644 distrib/docs/html/files/overviews/comparators-txt.html create mode 100644 distrib/docs/html/files/overviews/components-txt.html create mode 100644 distrib/docs/html/files/overviews/config_and_res-txt.html create mode 100644 distrib/docs/html/files/overviews/containers-txt.html create mode 100644 distrib/docs/html/files/overviews/dap-txt.html create mode 100644 distrib/docs/html/files/overviews/factory-txt.html create mode 100644 distrib/docs/html/files/overviews/intro-txt.html create mode 100644 distrib/docs/html/files/overviews/phasing-txt.html create mode 100644 distrib/docs/html/files/overviews/policies-txt.html create mode 100644 distrib/docs/html/files/overviews/recording-txt.html create mode 100644 distrib/docs/html/files/overviews/registers-txt.html create mode 100644 distrib/docs/html/files/overviews/relnotes-txt.html create mode 100644 distrib/docs/html/files/overviews/reporting-txt.html create mode 100644 distrib/docs/html/files/overviews/sequencers-txt.html create mode 100644 distrib/docs/html/files/overviews/sequences-txt.html create mode 100644 distrib/docs/html/files/overviews/synchro-txt.html create mode 100644 distrib/docs/html/files/overviews/test-phasing-txt.html create mode 100644 distrib/docs/html/files/overviews/tlm1-txt.html create mode 100644 distrib/docs/html/files/overviews/tlm2-txt.html create mode 100644 distrib/docs/html/files/overviews/tlm_ifs_and_ports-txt.html create mode 100644 distrib/docs/html/files/reg/sequences/uvm_mem_access_seq-svh.html create mode 100644 distrib/docs/html/files/reg/sequences/uvm_mem_walk_seq-svh.html create mode 100644 distrib/docs/html/files/reg/sequences/uvm_reg_access_seq-svh.html create mode 100644 distrib/docs/html/files/reg/sequences/uvm_reg_bit_bash_seq-svh.html create mode 100644 distrib/docs/html/files/reg/sequences/uvm_reg_hw_reset_seq-svh.html create mode 100644 distrib/docs/html/files/reg/sequences/uvm_reg_mem_built_in_seq-svh.html create mode 100644 distrib/docs/html/files/reg/sequences/uvm_reg_mem_hdl_paths_seq-svh.html create mode 100644 distrib/docs/html/files/reg/sequences/uvm_reg_mem_shared_access_seq-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_mem-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_mem_mam-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_adapter-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_backdoor-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_block-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_cbs-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_field-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_fifo-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_file-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_indirect-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_item-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_map-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_model-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_predictor-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_sequence-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_vreg-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_vreg_field-svh.html create mode 100644 distrib/docs/html/files/seq/uvm_push_sequencer-svh.html create mode 100644 distrib/docs/html/files/seq/uvm_sequence-svh.html create mode 100644 distrib/docs/html/files/seq/uvm_sequence_base-svh.html create mode 100644 distrib/docs/html/files/seq/uvm_sequence_item-svh.html create mode 100644 distrib/docs/html/files/seq/uvm_sequence_library-svh.html create mode 100644 distrib/docs/html/files/seq/uvm_sequencer-svh.html create mode 100644 distrib/docs/html/files/seq/uvm_sequencer_base-svh.html create mode 100644 distrib/docs/html/files/seq/uvm_sequencer_param_base-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_analysis_port-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_exports-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_imps-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_ports-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_sqr_connections-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_sqr_ifs-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_tlm_fifo_base-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_tlm_fifos-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_tlm_ifs-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_tlm_req_rsp-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_defines-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_exports-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_generic_payload-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_ifs-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_imps-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_ports-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_sockets-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_sockets_base-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_time-svh.html copy {natural_docs => distrib/docs/html}/images/bg_column_green.gif (100%) copy {natural_docs => distrib/docs/html}/images/bg_column_green_grey.gif (100%) copy {natural_docs => distrib/docs/html}/images/bg_feature.jpg (100%) copy {natural_docs => distrib/docs/html}/images/bg_h3_roundcorners.gif (100%) copy {natural_docs => distrib/docs/html}/images/bg_main.gif (100%) copy {natural_docs => distrib/docs/html}/images/bg_masthead.jpg (100%) copy {natural_docs => distrib/docs/html}/images/bg_navbar.gif (100%) copy {natural_docs => distrib/docs/html}/images/bg_roundcorners2.gif (100%) copy {natural_docs => distrib/docs/html}/images/bg_tableheader.gif (100%) copy {natural_docs => distrib/docs/html}/images/bg_thick_grey_bar.gif (100%) copy {natural_docs => distrib/docs/html}/images/bullet_GreenOnGrey.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_base.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_comparators.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_components.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_factory.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_phases_uml.gif (100%) mode change 100755 => 100644 copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_recording.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_reg_class_map.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_reporting.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_root.gif (100%) mode change 100755 => 100644 copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_seq_item_ports.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_sequence.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_sequencer.gif (100%) mode change 100755 => 100644 copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_sync.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_tlm_analysis_if.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_tlm_bidir_ports.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_tlm_get_peek_ifs.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_tlm_hierarchy.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_tlm_master_slave_ifs.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_tlm_put_ifs.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_tlm_transport_ifs.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_tlm_uni_ports.gif (100%) create mode 100644 distrib/docs/html/index.html create mode 100644 distrib/docs/html/index/Classes.html create mode 100644 distrib/docs/html/index/Constants.html create mode 100644 distrib/docs/html/index/Files.html create mode 100644 distrib/docs/html/index/General.html create mode 100644 distrib/docs/html/index/General10.html create mode 100644 distrib/docs/html/index/General11.html create mode 100644 distrib/docs/html/index/General12.html create mode 100644 distrib/docs/html/index/General2.html create mode 100644 distrib/docs/html/index/General3.html create mode 100644 distrib/docs/html/index/General4.html create mode 100644 distrib/docs/html/index/General5.html create mode 100644 distrib/docs/html/index/General6.html create mode 100644 distrib/docs/html/index/General7.html create mode 100644 distrib/docs/html/index/General8.html create mode 100644 distrib/docs/html/index/General9.html create mode 100644 distrib/docs/html/index/Macros.html create mode 100644 distrib/docs/html/index/Methods.html create mode 100644 distrib/docs/html/index/Methods2.html create mode 100644 distrib/docs/html/index/Methods3.html create mode 100644 distrib/docs/html/index/Methods4.html create mode 100644 distrib/docs/html/index/Methods5.html create mode 100644 distrib/docs/html/index/Methods6.html create mode 100644 distrib/docs/html/index/Methods7.html create mode 100644 distrib/docs/html/index/Ports.html create mode 100644 distrib/docs/html/index/Types.html create mode 100644 distrib/docs/html/index/Variables.html copy natural_docs/JavaScript/NaturalDocs.js => distrib/docs/html/javascript/main.js (100%) create mode 100644 distrib/docs/html/javascript/searchdata.js copy {natural_docs => distrib/docs/html}/logo.html (100%) create mode 100644 distrib/docs/html/menu.html create mode 100644 distrib/docs/html/search/ClassesP.html create mode 100644 distrib/docs/html/search/ClassesU.html create mode 100644 distrib/docs/html/search/ConstantsB.html create mode 100644 distrib/docs/html/search/ConstantsE.html create mode 100644 distrib/docs/html/search/ConstantsU.html create mode 100644 distrib/docs/html/search/FilesA.html create mode 100644 distrib/docs/html/search/FilesM.html create mode 100644 distrib/docs/html/search/FilesR.html create mode 100644 distrib/docs/html/search/FilesT.html create mode 100644 distrib/docs/html/search/FilesU.html create mode 100644 distrib/docs/html/search/GeneralA.html create mode 100644 distrib/docs/html/search/GeneralB.html create mode 100644 distrib/docs/html/search/GeneralC.html create mode 100644 distrib/docs/html/search/GeneralD.html create mode 100644 distrib/docs/html/search/GeneralE.html create mode 100644 distrib/docs/html/search/GeneralF.html create mode 100644 distrib/docs/html/search/GeneralG.html create mode 100644 distrib/docs/html/search/GeneralH.html create mode 100644 distrib/docs/html/search/GeneralI.html create mode 100644 distrib/docs/html/search/GeneralJ.html create mode 100644 distrib/docs/html/search/GeneralK.html create mode 100644 distrib/docs/html/search/GeneralL.html create mode 100644 distrib/docs/html/search/GeneralM.html create mode 100644 distrib/docs/html/search/GeneralN.html create mode 100644 distrib/docs/html/search/GeneralNumbers.html create mode 100644 distrib/docs/html/search/GeneralO.html create mode 100644 distrib/docs/html/search/GeneralP.html create mode 100644 distrib/docs/html/search/GeneralQ.html create mode 100644 distrib/docs/html/search/GeneralR.html create mode 100644 distrib/docs/html/search/GeneralS.html create mode 100644 distrib/docs/html/search/GeneralSymbols.html create mode 100644 distrib/docs/html/search/GeneralT.html create mode 100644 distrib/docs/html/search/GeneralU.html create mode 100644 distrib/docs/html/search/GeneralV.html create mode 100644 distrib/docs/html/search/GeneralW.html create mode 100644 distrib/docs/html/search/MacrosSymbols.html create mode 100644 distrib/docs/html/search/MacrosU.html create mode 100644 distrib/docs/html/search/MethodsA.html create mode 100644 distrib/docs/html/search/MethodsB.html create mode 100644 distrib/docs/html/search/MethodsC.html create mode 100644 distrib/docs/html/search/MethodsD.html create mode 100644 distrib/docs/html/search/MethodsE.html create mode 100644 distrib/docs/html/search/MethodsF.html create mode 100644 distrib/docs/html/search/MethodsG.html create mode 100644 distrib/docs/html/search/MethodsH.html create mode 100644 distrib/docs/html/search/MethodsI.html create mode 100644 distrib/docs/html/search/MethodsJ.html create mode 100644 distrib/docs/html/search/MethodsK.html create mode 100644 distrib/docs/html/search/MethodsL.html create mode 100644 distrib/docs/html/search/MethodsM.html create mode 100644 distrib/docs/html/search/MethodsN.html create mode 100644 distrib/docs/html/search/MethodsO.html create mode 100644 distrib/docs/html/search/MethodsP.html create mode 100644 distrib/docs/html/search/MethodsR.html create mode 100644 distrib/docs/html/search/MethodsS.html create mode 100644 distrib/docs/html/search/MethodsT.html create mode 100644 distrib/docs/html/search/MethodsU.html create mode 100644 distrib/docs/html/search/MethodsV.html create mode 100644 distrib/docs/html/search/MethodsW.html create mode 100644 distrib/docs/html/search/NoResults.html create mode 100644 distrib/docs/html/search/PortsA.html create mode 100644 distrib/docs/html/search/PortsB.html create mode 100644 distrib/docs/html/search/PortsG.html create mode 100644 distrib/docs/html/search/PortsM.html create mode 100644 distrib/docs/html/search/PortsP.html create mode 100644 distrib/docs/html/search/PortsR.html create mode 100644 distrib/docs/html/search/PortsS.html create mode 100644 distrib/docs/html/search/PortsT.html create mode 100644 distrib/docs/html/search/TypesA.html create mode 100644 distrib/docs/html/search/TypesL.html create mode 100644 distrib/docs/html/search/TypesU.html create mode 100644 distrib/docs/html/search/VariablesA.html create mode 100644 distrib/docs/html/search/VariablesB.html create mode 100644 distrib/docs/html/search/VariablesC.html create mode 100644 distrib/docs/html/search/VariablesD.html create mode 100644 distrib/docs/html/search/VariablesE.html create mode 100644 distrib/docs/html/search/VariablesF.html create mode 100644 distrib/docs/html/search/VariablesH.html create mode 100644 distrib/docs/html/search/VariablesI.html create mode 100644 distrib/docs/html/search/VariablesK.html create mode 100644 distrib/docs/html/search/VariablesL.html create mode 100644 distrib/docs/html/search/VariablesM.html create mode 100644 distrib/docs/html/search/VariablesN.html create mode 100644 distrib/docs/html/search/VariablesO.html create mode 100644 distrib/docs/html/search/VariablesP.html create mode 100644 distrib/docs/html/search/VariablesR.html create mode 100644 distrib/docs/html/search/VariablesS.html create mode 100644 distrib/docs/html/search/VariablesSymbols.html create mode 100644 distrib/docs/html/search/VariablesT.html create mode 100644 distrib/docs/html/search/VariablesU.html create mode 100644 distrib/docs/html/search/VariablesV.html copy distrib/{ => docs/html}/src/base/uvm_barrier.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_base.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_bottomup_phase.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_callback.svh (100%) create mode 100644 distrib/docs/html/src/base/uvm_cmdline_processor.svh create mode 100644 distrib/docs/html/src/base/uvm_common_phases.svh create mode 100644 distrib/docs/html/src/base/uvm_comparer.svh create mode 100644 distrib/docs/html/src/base/uvm_component.svh copy distrib/{ => docs/html}/src/base/uvm_config_db.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_coreservice.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_domain.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_event.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_event_callback.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_factory.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_globals.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_heartbeat.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_links.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_misc.svh (100%) create mode 100644 distrib/docs/html/src/base/uvm_object.svh copy distrib/{ => docs/html}/src/base/uvm_object_globals.svh (100%) create mode 100644 distrib/docs/html/src/base/uvm_objection.svh copy distrib/{ => docs/html}/src/base/uvm_packer.svh (100%) create mode 100644 distrib/docs/html/src/base/uvm_phase.svh copy distrib/{ => docs/html}/src/base/uvm_pool.svh (100%) create mode 100644 distrib/docs/html/src/base/uvm_port_base.svh copy distrib/{ => docs/html}/src/base/uvm_printer.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_queue.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_recorder.svh (100%) create mode 100644 distrib/docs/html/src/base/uvm_registry.svh copy distrib/{ => docs/html}/src/base/uvm_report_catcher.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_report_handler.svh (100%) create mode 100644 distrib/docs/html/src/base/uvm_report_message.svh create mode 100644 distrib/docs/html/src/base/uvm_report_object.svh create mode 100644 distrib/docs/html/src/base/uvm_report_server.svh copy distrib/{ => docs/html}/src/base/uvm_resource.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_resource_db.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_resource_specializations.svh (100%) create mode 100644 distrib/docs/html/src/base/uvm_root.svh create mode 100644 distrib/docs/html/src/base/uvm_runtime_phases.svh copy distrib/{ => docs/html}/src/base/uvm_spell_chkr.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_task_phase.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_topdown_phase.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_tr_database.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_tr_stream.svh (100%) create mode 100644 distrib/docs/html/src/base/uvm_transaction.svh copy distrib/{ => docs/html}/src/base/uvm_traversal.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_version.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_agent.svh (100%) create mode 100644 distrib/docs/html/src/comps/uvm_algorithmic_comparator.svh copy distrib/{ => docs/html}/src/comps/uvm_comps.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_driver.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_env.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_in_order_comparator.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_monitor.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_pair.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_policies.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_push_driver.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_random_stimulus.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_scoreboard.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_subscriber.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_test.svh (100%) copy distrib/{ => docs/html}/src/dap/uvm_dap.svh (100%) copy distrib/{ => docs/html}/src/dap/uvm_get_to_lock_dap.svh (100%) copy distrib/{ => docs/html}/src/dap/uvm_set_before_get_dap.svh (100%) copy distrib/{ => docs/html}/src/dap/uvm_set_get_dap_base.svh (100%) copy distrib/{ => docs/html}/src/dap/uvm_simple_lock_dap.svh (100%) copy distrib/{ => docs/html}/src/deprecated/readme.important (100%) copy distrib/{ => docs/html}/src/deprecated/uvm_resource_converter.svh (100%) copy distrib/{ => docs/html}/src/dpi/uvm_common.c (100%) copy distrib/{ => docs/html}/src/dpi/uvm_dpi.cc (100%) copy distrib/{ => docs/html}/src/dpi/uvm_dpi.h (100%) copy distrib/{ => docs/html}/src/dpi/uvm_dpi.svh (100%) copy distrib/{ => docs/html}/src/dpi/uvm_hdl.c (100%) copy distrib/{ => docs/html}/src/dpi/uvm_hdl.svh (100%) create mode 100644 distrib/docs/html/src/dpi/uvm_hdl_inca.c copy distrib/{ => docs/html}/src/dpi/uvm_hdl_questa.c (100%) copy distrib/{ => docs/html}/src/dpi/uvm_hdl_vcs.c (100%) copy distrib/{ => docs/html}/src/dpi/uvm_regex.cc (100%) copy distrib/{ => docs/html}/src/dpi/uvm_regex.svh (100%) copy distrib/{ => docs/html}/src/dpi/uvm_svcmd_dpi.c (100%) copy distrib/{ => docs/html}/src/dpi/uvm_svcmd_dpi.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_callback_defines.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_deprecated_defines.svh (100%) create mode 100644 distrib/docs/html/src/macros/uvm_message_defines.svh create mode 100644 distrib/docs/html/src/macros/uvm_object_defines.svh copy distrib/{ => docs/html}/src/macros/uvm_phase_defines.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_printer_defines.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_reg_defines.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_sequence_defines.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_tlm_defines.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_undefineall.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_version_defines.svh (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/base.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/cmdlineproc.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/comparators.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/components.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/config_and_res.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/containers.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/dap.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/factory.txt (100%) create mode 100644 distrib/docs/html/src/overviews/intro.txt copy {uvm_ref => distrib/docs/html/src/overviews}/legal.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/phasing.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/policies.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/recording.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/registers.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/relnotes.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/reporting.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/sequencers.txt (100%) create mode 100644 distrib/docs/html/src/overviews/sequences.txt copy {uvm_ref => distrib/docs/html/src/overviews}/synchro.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/test-phasing.txt (100%) create mode 100644 distrib/docs/html/src/overviews/tlm1.txt create mode 100644 distrib/docs/html/src/overviews/tlm2.txt copy {uvm_ref => distrib/docs/html/src/overviews}/tlm_ifs_and_ports.txt (100%) copy distrib/{ => docs/html}/src/reg/sequences/uvm_mem_access_seq.svh (100%) copy distrib/{ => docs/html}/src/reg/sequences/uvm_mem_walk_seq.svh (100%) copy distrib/{ => docs/html}/src/reg/sequences/uvm_reg_access_seq.svh (100%) copy distrib/{ => docs/html}/src/... [truncated message content] |
From: Tom F. <tf...@us...> - 2014-05-14 17:37:40
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2_TYPO_FIXES_MENT has been created at 86b9024734f803c69cbe6536b98b106c98b6641e (commit) - Log ----------------------------------------------------------------- commit 86b9024734f803c69cbe6536b98b106c98b6641e Author: Tom Fitzpatrick <tfitzpat@localhost.localdomain> Date: Wed May 14 10:35:10 2014 -0700 Typo changes commit f88a4061dc5aed9d4aa80fbbb0a0fca55edcf581 Merge: aa9d1c8 5f151cc Author: Tom Fitzpatrick <tfitzpat@localhost.localdomain> Date: Mon May 5 12:17:42 2014 -0700 Merge branch 'UVM_1_2' of ssh://git.code.sf.net/p/uvm/code into UVM_1_2 trying to fix detached head commit aa9d1c83db0730282e1d7c407c3b7d57b74c484e Merge: ffa49a0 9c279b9 Author: Tom Fitzpatrick <tfitzpat@localhost.localdomain> Date: Mon May 5 12:13:58 2014 -0700 Merge commit '9c279b9' into HEAD Trying to fix 'detached' git branch problem commit ffa49a02f72ea585629918498cdc44aaf9d04235 Author: Tom Fitzpatrick <tfitzpat@localhost.localdomain> Date: Wed Apr 23 10:02:02 2014 -0700 Fixed typos reported to Accellera Board commit 9c279b93e39cdb08b4bb693c85d0f04e62b72f87 Author: Tom Fitzpatrick <tfitzpat@localhost.localdomain> Date: Wed Apr 23 10:02:02 2014 -0700 Fixed typos reported to Accellera Board BRANCH WAS CREATED ----------------------------------------------------------------------- hooks/post-receive -- uvm |
From: Justin R. <ec...@us...> - 2014-05-14 17:27:19
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2 has been updated via c4dbde524a5b65b88709c2235833171d61163fb0 (commit) from ff29d3d02a38fa71861061ee32bd019ceff5b715 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit c4dbde524a5b65b88709c2235833171d61163fb0 Author: Justin Refice <jr...@nv...> Date: Wed May 14 10:26:29 2014 -0700 Documented the singleton accessors for uvm_*_phase Corrected connect_ph/run_ph to the singleton accessors. ----------------------------------------------------------------------- Summary of changes: distrib/src/base/uvm_common_phases.svh | 28 ++++++++++++++++++++++++ distrib/src/base/uvm_phase.svh | 4 +- distrib/src/base/uvm_runtime_phases.svh | 36 +++++++++++++++++++++++++++++++ 3 files changed, 66 insertions(+), 2 deletions(-) hooks/post-receive -- uvm |
From: Justin R. <ec...@us...> - 2014-05-14 15:00:44
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2 has been updated via ff29d3d02a38fa71861061ee32bd019ceff5b715 (commit) via 82f8c03bc5e208f3b4fbbcdc6e475237ea888efd (commit) from 08b959819f4aa1c957cef945fa1390f4c7ca1584 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit ff29d3d02a38fa71861061ee32bd019ceff5b715 Author: Justin Refice <jr...@nv...> Date: Mon May 5 12:45:11 2014 -0700 few more "an uvm" fixes commit 82f8c03bc5e208f3b4fbbcdc6e475237ea888efd Author: Justin Refice <jr...@nv...> Date: Mon May 5 12:38:50 2014 -0700 Fixes from the spreadsheet lines 601-800 Other fixes from "an UVM..." to "a UVM..." ----------------------------------------------------------------------- Summary of changes: distrib/src/base/uvm_cmdline_processor.svh | 4 +- distrib/src/base/uvm_comparer.svh | 2 +- distrib/src/base/uvm_component.svh | 26 +++++++++++----------- distrib/src/base/uvm_object.svh | 2 +- distrib/src/base/uvm_objection.svh | 2 +- distrib/src/base/uvm_port_base.svh | 8 +++--- distrib/src/base/uvm_registry.svh | 10 ++++---- distrib/src/base/uvm_report_object.svh | 8 +++--- distrib/src/base/uvm_report_server.svh | 4 +- distrib/src/base/uvm_root.svh | 4 +- distrib/src/base/uvm_transaction.svh | 2 +- distrib/src/comps/uvm_algorithmic_comparator.svh | 2 +- distrib/src/macros/uvm_message_defines.svh | 8 +++--- distrib/src/macros/uvm_object_defines.svh | 2 +- distrib/src/seq/uvm_push_sequencer.svh | 2 +- distrib/src/seq/uvm_sequence.svh | 2 +- distrib/src/seq/uvm_sequence_base.svh | 10 ++++---- distrib/src/seq/uvm_sequence_item.svh | 2 +- distrib/src/seq/uvm_sequence_library.svh | 4 +- distrib/src/seq/uvm_sequencer_base.svh | 8 +++--- distrib/src/seq/uvm_sequencer_param_base.svh | 2 +- distrib/src/tlm1/uvm_tlm_fifos.svh | 2 +- distrib/src/tlm2/uvm_tlm2_time.svh | 8 +++--- uvm_ref/sequences.txt | 4 +- uvm_ref/tlm1.txt | 2 +- 25 files changed, 65 insertions(+), 65 deletions(-) hooks/post-receive -- uvm |
From: Uwe S. <uw...@us...> - 2014-05-07 08:57:16
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2_FIXES_CDNS4 has been deleted was b3855e9aff9a40390e0354adb2adc6f5e066de46 ----------------------------------------------------------------------- BRANCH WAS DELETED ----------------------------------------------------------------------- hooks/post-receive -- uvm |
From: Mark S. <ev...@us...> - 2014-05-06 19:50:46
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2_DEFAULT_FIX has been updated via d520a42ecf837683fabd2209d2d7557a36a23c62 (commit) from dc3c3a97db8dd6d97434331865e04f569e33b3c0 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit d520a42ecf837683fabd2209d2d7557a36a23c62 Author: Mark Strickland <mas...@ci...> Date: Tue May 6 15:49:28 2014 -0400 Added the Title: information so this text would show in Menu ----------------------------------------------------------------------- Summary of changes: uvm_ref/globals.txt | 4 +++- uvm_ref/macros.txt | 2 ++ 2 files changed, 5 insertions(+), 1 deletions(-) hooks/post-receive -- uvm |
From: Mark S. <ev...@us...> - 2014-05-06 18:02:29
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2_DEFAULT_FIX has been updated via dc3c3a97db8dd6d97434331865e04f569e33b3c0 (commit) via 477ddf2ca499ca61d0a50e3550e0807bf3a55567 (commit) via f6040590716defad69b540a80370a41832d3dd5c (commit) via 7aedd50c3f1d1aa679999b43dd24306cf60a7a7c (commit) via 08b959819f4aa1c957cef945fa1390f4c7ca1584 (commit) via 7f4f8f100054b7a7ef7f85108cbb59568aeeb868 (commit) via a02f4b80eb741bc14929dcb81025c20a37e8294c (commit) via 5f151cc988a0dbe0d52993220374967d5f46c545 (commit) via 4b3281c64d9bf3742d6aade81ca90b4ea4407d78 (commit) from 5fbfe630eabab647379a12cc0f5dbd8e3cbe7519 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit dc3c3a97db8dd6d97434331865e04f569e33b3c0 Merge: 477ddf2 5fbfe63 Author: Mark Strickland <mas...@ci...> Date: Tue May 6 14:01:28 2014 -0400 Merge branch 'UVM_1_2_DEFAULT_FIX' of ssh://git.code.sf.net/p/uvm/code into UVM_1_2_DEFAULT_FIX Conflicts: distrib/src/tlm2/uvm_tlm2_generic_payload.svh commit 477ddf2ca499ca61d0a50e3550e0807bf3a55567 Author: Mark Strickland <mas...@ci...> Date: Tue May 6 13:43:19 2014 -0400 Adding overview section to Macros and Globals commit f6040590716defad69b540a80370a41832d3dd5c Author: Mark Strickland <mas...@ci...> Date: Wed Apr 23 16:17:27 2014 -0400 Added white space to method default assignments to 0 so NaturalDocs picks them up correctly commit 7aedd50c3f1d1aa679999b43dd24306cf60a7a7c Author: Mark Strickland <mas...@ci...> Date: Wed Apr 23 14:39:55 2014 -0400 Changed file=0 to file = 0 to make ND work better ----------------------------------------------------------------------- Summary of changes: admin/bin/merged_mantis.pl | 3 +++ distrib/release-notes.txt | 12 ++++++++++++ distrib/src/base/uvm_component.svh | 6 +++--- distrib/src/base/uvm_object.svh | 2 +- distrib/src/base/uvm_port_base.svh | 6 +++--- distrib/src/base/uvm_report_message.svh | 4 ++-- distrib/src/base/uvm_report_object.svh | 12 ++++++------ distrib/src/base/uvm_transaction.svh | 6 +++--- distrib/src/dpi/uvm_hdl_inca.c | 6 ++++++ distrib/src/macros/uvm_message_defines.svh | 6 +++--- distrib/src/tlm2/uvm_tlm2_generic_payload.svh | 14 +++++++------- distrib/src/tlm2/uvm_tlm2_ifs.svh | 2 +- distrib/src/tlm2/uvm_tlm2_imps.svh | 6 +++--- distrib/src/tlm2/uvm_tlm2_sockets.svh | 6 +++--- distrib/src/tlm2/uvm_tlm2_sockets_base.svh | 8 ++++---- uvm_ref/globals.txt | 1 + uvm_ref/intro.txt | 8 ++++---- uvm_ref/macros.txt | 3 +++ uvm_ref/nd/Proj/UVM_Menu.txt | 2 ++ uvm_ref/tlm2.txt | 12 ++++++------ 20 files changed, 76 insertions(+), 49 deletions(-) create mode 100644 uvm_ref/globals.txt create mode 100644 uvm_ref/macros.txt hooks/post-receive -- uvm |
From: Uwe S. <uw...@us...> - 2014-05-06 12:27:56
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2 has been updated via 08b959819f4aa1c957cef945fa1390f4c7ca1584 (commit) from 7f4f8f100054b7a7ef7f85108cbb59568aeeb868 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit 08b959819f4aa1c957cef945fa1390f4c7ca1584 Author: uwes <uw...@ca...> Date: Tue May 6 15:27:28 2014 +0300 few more typo fixes ----------------------------------------------------------------------- Summary of changes: distrib/src/tlm1/uvm_sqr_ifs.svh | 2 +- 1 files changed, 1 insertions(+), 1 deletions(-) hooks/post-receive -- uvm |
From: Uwe S. <uw...@us...> - 2014-05-06 11:17:01
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2 has been updated via 7f4f8f100054b7a7ef7f85108cbb59568aeeb868 (commit) from a02f4b80eb741bc14929dcb81025c20a37e8294c (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit 7f4f8f100054b7a7ef7f85108cbb59568aeeb868 Author: uwes <uw...@ca...> Date: Tue May 6 14:16:29 2014 +0300 fixed typos ----------------------------------------------------------------------- Summary of changes: distrib/src/tlm1/uvm_sqr_ifs.svh | 4 ++-- distrib/src/tlm2/uvm_tlm2_generic_payload.svh | 16 ++++++++-------- distrib/src/tlm2/uvm_tlm2_ifs.svh | 4 ++-- distrib/src/tlm2/uvm_tlm2_imps.svh | 8 ++++---- distrib/src/tlm2/uvm_tlm2_sockets.svh | 6 +++--- distrib/src/tlm2/uvm_tlm2_sockets_base.svh | 8 ++++---- uvm_ref/tlm2.txt | 12 ++++++------ 7 files changed, 29 insertions(+), 29 deletions(-) hooks/post-receive -- uvm |
From: Uwe S. <uw...@us...> - 2014-05-06 08:23:47
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2 has been created at a02f4b80eb741bc14929dcb81025c20a37e8294c (commit) - Log ----------------------------------------------------------------- commit a02f4b80eb741bc14929dcb81025c20a37e8294c Author: Tom Fitzpatrick <tfitzpat@localhost.localdomain> Date: Wed Apr 23 10:02:02 2014 -0700 Fixed typos reported to Accellera Board BRANCH WAS CREATED ----------------------------------------------------------------------- hooks/post-receive -- uvm |
From: Uwe S. <uw...@us...> - 2014-05-06 08:23:33
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2 has been deleted was f88a4061dc5aed9d4aa80fbbb0a0fca55edcf581 ----------------------------------------------------------------------- BRANCH WAS DELETED ----------------------------------------------------------------------- hooks/post-receive -- uvm |
From: jambabe <ja...@us...> - 2014-05-05 22:11:55
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2_DEFAULT_FIX has been updated via 5fbfe630eabab647379a12cc0f5dbd8e3cbe7519 (commit) from de7690766f7faaa95c3a510e27802d52c5e74cac (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit 5fbfe630eabab647379a12cc0f5dbd8e3cbe7519 Author: Jamsheed Agahi <ja...@se...> Date: Mon May 5 15:09:43 2014 -0700 style changes: change null to italic; methods names in <> to appear as blue links ----------------------------------------------------------------------- Summary of changes: distrib/src/base/uvm_callback.svh | 32 +++++++++++----------- distrib/src/base/uvm_component.svh | 14 +++++----- distrib/src/base/uvm_config_db.svh | 2 +- distrib/src/base/uvm_coreservice.svh | 4 +- distrib/src/base/uvm_factory.svh | 2 +- distrib/src/base/uvm_heartbeat.svh | 6 ++-- distrib/src/base/uvm_object.svh | 10 +++--- distrib/src/base/uvm_objection.svh | 4 +- distrib/src/base/uvm_packer.svh | 12 ++++---- distrib/src/base/uvm_phase.svh | 12 ++++---- distrib/src/base/uvm_port_base.svh | 4 +- distrib/src/base/uvm_printer.svh | 4 +- distrib/src/base/uvm_report_catcher.svh | 2 +- distrib/src/base/uvm_report_handler.svh | 2 +- distrib/src/base/uvm_report_object.svh | 4 +- distrib/src/base/uvm_report_server.svh | 4 +- distrib/src/base/uvm_resource.svh | 4 +- distrib/src/base/uvm_root.svh | 2 +- distrib/src/comps/uvm_algorithmic_comparator.svh | 2 +- distrib/src/reg/uvm_mem.svh | 4 +- distrib/src/reg/uvm_mem_mam.svh | 2 +- distrib/src/reg/uvm_reg.svh | 6 ++-- distrib/src/reg/uvm_reg_adapter.svh | 2 +- distrib/src/reg/uvm_reg_block.svh | 2 +- distrib/src/reg/uvm_reg_field.svh | 2 +- distrib/src/reg/uvm_reg_map.svh | 2 +- distrib/src/reg/uvm_reg_predictor.svh | 2 +- distrib/src/reg/uvm_vreg.svh | 2 +- distrib/src/seq/uvm_sequence.svh | 2 +- distrib/src/seq/uvm_sequence_base.svh | 8 +++--- distrib/src/seq/uvm_sequence_item.svh | 2 +- distrib/src/seq/uvm_sequencer_base.svh | 2 +- distrib/src/seq/uvm_sequencer_param_base.svh | 6 ++-- distrib/src/tlm1/uvm_sqr_ifs.svh | 10 +++--- distrib/src/tlm1/uvm_tlm_fifo_base.svh | 2 +- distrib/src/tlm1/uvm_tlm_fifos.svh | 2 +- distrib/src/tlm1/uvm_tlm_req_rsp.svh | 4 +- distrib/src/tlm2/uvm_tlm2_generic_payload.svh | 2 +- 38 files changed, 95 insertions(+), 95 deletions(-) hooks/post-receive -- uvm |
From: jambabe <ja...@us...> - 2014-05-05 19:58:05
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2_DEFAULT_FIX has been updated via de7690766f7faaa95c3a510e27802d52c5e74cac (commit) via c59c3b564a8aa5bc15153c889cc9aa8e99061ade (commit) from b13da2182db46b15c427323719c242e6774c5df9 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit de7690766f7faaa95c3a510e27802d52c5e74cac Author: Jamsheed Agahi <ja...@se...> Date: Mon May 5 12:56:25 2014 -0700 spelling corrections commit c59c3b564a8aa5bc15153c889cc9aa8e99061ade Author: Jamsheed Agahi <ja...@se...> Date: Mon May 5 11:24:15 2014 -0700 spelling correction ----------------------------------------------------------------------- Summary of changes: distrib/src/base/uvm_misc.svh | 2 +- distrib/src/base/uvm_object_globals.svh | 2 +- distrib/src/base/uvm_phase.svh | 2 +- distrib/src/base/uvm_report_server.svh | 2 +- distrib/src/reg/uvm_mem.svh | 20 +++++++++--------- distrib/src/reg/uvm_reg.svh | 18 ++++++++-------- distrib/src/reg/uvm_reg_block.svh | 26 ++++++++++++------------ distrib/src/reg/uvm_reg_field.svh | 16 +++++++------- distrib/src/reg/uvm_reg_fifo.svh | 2 +- distrib/src/reg/uvm_reg_file.svh | 10 ++++---- distrib/src/reg/uvm_reg_map.svh | 8 +++--- distrib/src/reg/uvm_reg_model.svh | 4 +- distrib/src/reg/uvm_vreg.svh | 6 ++-- distrib/src/seq/uvm_sequence_item.svh | 4 +- distrib/src/tlm1/uvm_sqr_ifs.svh | 6 ++-- distrib/src/tlm2/uvm_tlm2_generic_payload.svh | 4 +- distrib/src/tlm2/uvm_tlm2_ifs.svh | 2 +- distrib/src/tlm2/uvm_tlm2_imps.svh | 2 +- distrib/src/tlm2/uvm_tlm2_time.svh | 4 +- 19 files changed, 70 insertions(+), 70 deletions(-) hooks/post-receive -- uvm |
From: Justin R. <ec...@us...> - 2014-05-05 19:45:42
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2_NV_TYPOS has been updated via abba6ba1fc8bb356623970e76b128b43d51058a8 (commit) from 84370a9ed20e1241b7be859b2101afc6b970b2cb (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit abba6ba1fc8bb356623970e76b128b43d51058a8 Author: Justin Refice <jr...@nv...> Date: Mon May 5 12:45:11 2014 -0700 few more "an uvm" fixes ----------------------------------------------------------------------- Summary of changes: distrib/src/base/uvm_component.svh | 4 ++-- distrib/src/base/uvm_objection.svh | 2 +- 2 files changed, 3 insertions(+), 3 deletions(-) hooks/post-receive -- uvm |
From: Justin R. <ec...@us...> - 2014-05-05 19:39:51
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2_NV_TYPOS has been created at 84370a9ed20e1241b7be859b2101afc6b970b2cb (commit) - Log ----------------------------------------------------------------- commit 84370a9ed20e1241b7be859b2101afc6b970b2cb Author: Justin Refice <jr...@nv...> Date: Mon May 5 12:38:50 2014 -0700 Fixes from the spreadsheet lines 601-800 Other fixes from "an UVM..." to "a UVM..." BRANCH WAS CREATED ----------------------------------------------------------------------- hooks/post-receive -- uvm |
From: Tom F. <tf...@us...> - 2014-05-05 19:24:00
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2 has been updated via f88a4061dc5aed9d4aa80fbbb0a0fca55edcf581 (commit) via aa9d1c83db0730282e1d7c407c3b7d57b74c484e (commit) via ffa49a02f72ea585629918498cdc44aaf9d04235 (commit) via 9c279b93e39cdb08b4bb693c85d0f04e62b72f87 (commit) via e6980100360f30389bc30803101d01ef97e8bf98 (commit) from 5f151cc988a0dbe0d52993220374967d5f46c545 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit f88a4061dc5aed9d4aa80fbbb0a0fca55edcf581 Merge: aa9d1c8 5f151cc Author: Tom Fitzpatrick <tfitzpat@localhost.localdomain> Date: Mon May 5 12:17:42 2014 -0700 Merge branch 'UVM_1_2' of ssh://git.code.sf.net/p/uvm/code into UVM_1_2 trying to fix detached head commit aa9d1c83db0730282e1d7c407c3b7d57b74c484e Merge: ffa49a0 9c279b9 Author: Tom Fitzpatrick <tfitzpat@localhost.localdomain> Date: Mon May 5 12:13:58 2014 -0700 Merge commit '9c279b9' into HEAD Trying to fix 'detached' git branch problem commit ffa49a02f72ea585629918498cdc44aaf9d04235 Author: Tom Fitzpatrick <tfitzpat@localhost.localdomain> Date: Wed Apr 23 10:02:02 2014 -0700 Fixed typos reported to Accellera Board commit 9c279b93e39cdb08b4bb693c85d0f04e62b72f87 Author: Tom Fitzpatrick <tfitzpat@localhost.localdomain> Date: Wed Apr 23 10:02:02 2014 -0700 Fixed typos reported to Accellera Board commit e6980100360f30389bc30803101d01ef97e8bf98 Author: uwes <uw...@ca...> Date: Fri Apr 11 15:15:19 2014 +0300 commited docs for UVM_1_2_RELEASE ----------------------------------------------------------------------- Summary of changes: distrib/docs/html/files/base/uvm_barrier-svh.html | 263 ++ .../html/files/base/uvm_bottomup_phase-svh.html | 177 + distrib/docs/html/files/base/uvm_callback-svh.html | 835 +++++ .../html/files/base/uvm_cmdline_processor-svh.html | 512 +++ .../html/files/base/uvm_common_phases-svh.html | 542 +++ distrib/docs/html/files/base/uvm_comparer-svh.html | 482 +++ .../docs/html/files/base/uvm_component-svh.html | 3145 +++++++++++++++++ .../docs/html/files/base/uvm_config_db-svh.html | 440 +++ .../docs/html/files/base/uvm_coreservice-svh.html | 421 +++ distrib/docs/html/files/base/uvm_domain-svh.html | 235 ++ distrib/docs/html/files/base/uvm_event-svh.html | 471 +++ .../html/files/base/uvm_event_callback-svh.html | 206 ++ distrib/docs/html/files/base/uvm_factory-svh.html | 1825 ++++++++++ distrib/docs/html/files/base/uvm_globals-svh.html | 849 +++++ .../docs/html/files/base/uvm_heartbeat-svh.html | 275 ++ distrib/docs/html/files/base/uvm_links-svh.html | 997 ++++++ distrib/docs/html/files/base/uvm_misc-svh.html | 210 ++ distrib/docs/html/files/base/uvm_object-svh.html | 1376 ++++++++ .../html/files/base/uvm_object_globals-svh.html | 537 +++ .../docs/html/files/base/uvm_objection-svh.html | 951 +++++ distrib/docs/html/files/base/uvm_packer-svh.html | 792 +++++ distrib/docs/html/files/base/uvm_phase-svh.html | 1338 +++++++ distrib/docs/html/files/base/uvm_pool-svh.html | 667 ++++ .../docs/html/files/base/uvm_port_base-svh.html | 782 +++++ distrib/docs/html/files/base/uvm_printer-svh.html | 1064 ++++++ distrib/docs/html/files/base/uvm_queue-svh.html | 362 ++ distrib/docs/html/files/base/uvm_recorder-svh.html | 1594 +++++++++ distrib/docs/html/files/base/uvm_registry-svh.html | 609 ++++ .../html/files/base/uvm_report_catcher-svh.html | 1193 +++++++ .../html/files/base/uvm_report_handler-svh.html | 229 ++ .../html/files/base/uvm_report_message-svh.html | 1632 +++++++++ .../html/files/base/uvm_report_object-svh.html | 1243 +++++++ .../html/files/base/uvm_report_server-svh.html | 1264 +++++++ distrib/docs/html/files/base/uvm_resource-svh.html | 1769 ++++++++++ .../docs/html/files/base/uvm_resource_db-svh.html | 664 ++++ distrib/docs/html/files/base/uvm_root-svh.html | 434 +++ .../html/files/base/uvm_runtime_phases-svh.html | 676 ++++ .../docs/html/files/base/uvm_task_phase-svh.html | 221 ++ .../html/files/base/uvm_topdown_phase-svh.html | 177 + .../docs/html/files/base/uvm_tr_database-svh.html | 623 ++++ .../docs/html/files/base/uvm_tr_stream-svh.html | 723 ++++ .../docs/html/files/base/uvm_transaction-svh.html | 806 +++++ .../docs/html/files/base/uvm_traversal-svh.html | 559 +++ distrib/docs/html/files/comps/uvm_agent-svh.html | 127 + .../comps/uvm_algorithmic_comparator-svh.html | 231 ++ distrib/docs/html/files/comps/uvm_driver-svh.html | 170 + distrib/docs/html/files/comps/uvm_env-svh.html | 122 + .../files/comps/uvm_in_order_comparator-svh.html | 233 ++ distrib/docs/html/files/comps/uvm_monitor-svh.html | 114 + distrib/docs/html/files/comps/uvm_pair-svh.html | 348 ++ .../docs/html/files/comps/uvm_policies-svh.html | 338 ++ .../docs/html/files/comps/uvm_push_driver-svh.html | 170 + .../html/files/comps/uvm_random_stimulus-svh.html | 206 ++ .../docs/html/files/comps/uvm_scoreboard-svh.html | 114 + .../docs/html/files/comps/uvm_subscriber-svh.html | 181 + distrib/docs/html/files/comps/uvm_test-svh.html | 128 + .../html/files/dap/uvm_get_to_lock_dap-svh.html | 236 ++ .../html/files/dap/uvm_set_before_get_dap-svh.html | 255 ++ .../html/files/dap/uvm_set_get_dap_base-svh.html | 230 ++ .../html/files/dap/uvm_simple_lock_dap-svh.html | 284 ++ distrib/docs/html/files/dpi/uvm_hdl-svh.html | 311 ++ .../files/macros/uvm_callback_defines-svh.html | 160 + .../html/files/macros/uvm_message_defines-svh.html | 342 ++ .../html/files/macros/uvm_object_defines-svh.html | 1120 ++++++ .../html/files/macros/uvm_reg_defines-svh.html | 84 + .../files/macros/uvm_sequence_defines-svh.html | 378 ++ .../html/files/macros/uvm_tlm_defines-svh.html | 297 ++ .../html/files/macros/uvm_version_defines-svh.html | 151 + distrib/docs/html/files/overviews/base-txt.html | 45 + .../docs/html/files/overviews/cmdlineproc-txt.html | 41 + .../docs/html/files/overviews/comparators-txt.html | 39 + .../docs/html/files/overviews/components-txt.html | 41 + .../html/files/overviews/config_and_res-txt.html | 65 + .../docs/html/files/overviews/containers-txt.html | 67 + distrib/docs/html/files/overviews/dap-txt.html | 39 + distrib/docs/html/files/overviews/factory-txt.html | 93 + distrib/docs/html/files/overviews/intro-txt.html | 63 + distrib/docs/html/files/overviews/phasing-txt.html | 65 + .../docs/html/files/overviews/policies-txt.html | 53 + .../docs/html/files/overviews/recording-txt.html | 41 + .../docs/html/files/overviews/registers-txt.html | 39 + .../docs/html/files/overviews/relnotes-txt.html | 1727 +++++++++ .../docs/html/files/overviews/reporting-txt.html | 45 + .../docs/html/files/overviews/sequencers-txt.html | 121 + .../docs/html/files/overviews/sequences-txt.html | 57 + distrib/docs/html/files/overviews/synchro-txt.html | 77 + .../html/files/overviews/test-phasing-txt.html | 77 + distrib/docs/html/files/overviews/tlm1-txt.html | 323 ++ distrib/docs/html/files/overviews/tlm2-txt.html | 63 + .../files/overviews/tlm_ifs_and_ports-txt.html | 75 + .../reg/sequences/uvm_mem_access_seq-svh.html | 283 ++ .../files/reg/sequences/uvm_mem_walk_seq-svh.html | 344 ++ .../reg/sequences/uvm_reg_access_seq-svh.html | 348 ++ .../reg/sequences/uvm_reg_bit_bash_seq-svh.html | 283 ++ .../reg/sequences/uvm_reg_hw_reset_seq-svh.html | 176 + .../sequences/uvm_reg_mem_built_in_seq-svh.html | 130 + .../sequences/uvm_reg_mem_hdl_paths_seq-svh.html | 123 + .../uvm_reg_mem_shared_access_seq-svh.html | 376 ++ distrib/docs/html/files/reg/uvm_mem-svh.html | 2438 +++++++++++++ distrib/docs/html/files/reg/uvm_mem_mam-svh.html | 1762 ++++++++++ distrib/docs/html/files/reg/uvm_reg-svh.html | 2776 +++++++++++++++ .../docs/html/files/reg/uvm_reg_adapter-svh.html | 396 +++ .../docs/html/files/reg/uvm_reg_backdoor-svh.html | 485 +++ distrib/docs/html/files/reg/uvm_reg_block-svh.html | 2776 +++++++++++++++ distrib/docs/html/files/reg/uvm_reg_cbs-svh.html | 939 +++++ distrib/docs/html/files/reg/uvm_reg_field-svh.html | 1719 +++++++++ distrib/docs/html/files/reg/uvm_reg_fifo-svh.html | 595 ++++ distrib/docs/html/files/reg/uvm_reg_file-svh.html | 472 +++ .../docs/html/files/reg/uvm_reg_indirect-svh.html | 210 ++ distrib/docs/html/files/reg/uvm_reg_item-svh.html | 534 +++ distrib/docs/html/files/reg/uvm_reg_map-svh.html | 1646 +++++++++ distrib/docs/html/files/reg/uvm_reg_model-svh.html | 461 +++ .../docs/html/files/reg/uvm_reg_predictor-svh.html | 341 ++ .../docs/html/files/reg/uvm_reg_sequence-svh.html | 1997 +++++++++++ distrib/docs/html/files/reg/uvm_vreg-svh.html | 1753 ++++++++++ .../docs/html/files/reg/uvm_vreg_field-svh.html | 1215 +++++++ .../html/files/seq/uvm_push_sequencer-svh.html | 233 ++ distrib/docs/html/files/seq/uvm_sequence-svh.html | 295 ++ .../docs/html/files/seq/uvm_sequence_base-svh.html | 1280 +++++++ .../docs/html/files/seq/uvm_sequence_item-svh.html | 871 +++++ .../html/files/seq/uvm_sequence_library-svh.html | 402 +++ distrib/docs/html/files/seq/uvm_sequencer-svh.html | 444 +++ .../html/files/seq/uvm_sequencer_base-svh.html | 729 ++++ .../files/seq/uvm_sequencer_param_base-svh.html | 411 +++ .../html/files/tlm1/uvm_analysis_port-svh.html | 338 ++ distrib/docs/html/files/tlm1/uvm_exports-svh.html | 140 + distrib/docs/html/files/tlm1/uvm_imps-svh.html | 149 + distrib/docs/html/files/tlm1/uvm_ports-svh.html | 137 + .../html/files/tlm1/uvm_sqr_connections-svh.html | 297 ++ distrib/docs/html/files/tlm1/uvm_sqr_ifs-svh.html | 417 +++ .../html/files/tlm1/uvm_tlm_fifo_base-svh.html | 210 ++ .../docs/html/files/tlm1/uvm_tlm_fifos-svh.html | 323 ++ distrib/docs/html/files/tlm1/uvm_tlm_ifs-svh.html | 486 +++ .../docs/html/files/tlm1/uvm_tlm_req_rsp-svh.html | 417 +++ .../docs/html/files/tlm2/uvm_tlm2_defines-svh.html | 75 + .../docs/html/files/tlm2/uvm_tlm2_exports-svh.html | 249 ++ .../files/tlm2/uvm_tlm2_generic_payload-svh.html | 1276 +++++++ distrib/docs/html/files/tlm2/uvm_tlm2_ifs-svh.html | 283 ++ .../docs/html/files/tlm2/uvm_tlm2_imps-svh.html | 317 ++ .../docs/html/files/tlm2/uvm_tlm2_ports-svh.html | 249 ++ .../docs/html/files/tlm2/uvm_tlm2_sockets-svh.html | 876 +++++ .../html/files/tlm2/uvm_tlm2_sockets_base-svh.html | 542 +++ .../docs/html/files/tlm2/uvm_tlm2_time-svh.html | 424 +++ .../docs/html}/images/bg_column_green.gif | Bin 441 -> 441 bytes .../docs/html}/images/bg_column_green_grey.gif | Bin 87 -> 87 bytes .../docs/html}/images/bg_feature.jpg | Bin 22996 -> 22996 bytes .../docs/html}/images/bg_h3_roundcorners.gif | Bin 145 -> 145 bytes .../docs/html}/images/bg_main.gif | Bin 965 -> 965 bytes .../docs/html}/images/bg_masthead.jpg | Bin 11997 -> 11997 bytes .../docs/html}/images/bg_navbar.gif | Bin 18812 -> 18812 bytes .../docs/html}/images/bg_roundcorners2.gif | Bin 333 -> 333 bytes .../docs/html}/images/bg_tableheader.gif | Bin 169 -> 169 bytes .../docs/html}/images/bg_thick_grey_bar.gif | Bin 254 -> 254 bytes .../docs/html}/images/bullet_GreenOnGrey.gif | Bin 570 -> 570 bytes .../docs/html/images}/uvm_ref_base.gif | Bin 4444 -> 4444 bytes .../docs/html/images}/uvm_ref_comparators.gif | Bin 7795 -> 7795 bytes .../docs/html/images}/uvm_ref_components.gif | Bin 12732 -> 12732 bytes .../docs/html/images}/uvm_ref_factory.gif | Bin 4849 -> 4849 bytes .../docs/html/images}/uvm_ref_phases_uml.gif | Bin 6858 -> 6858 bytes .../docs/html/images}/uvm_ref_recording.gif | Bin 18568 -> 18568 bytes .../docs/html/images}/uvm_ref_reg_class_map.gif | Bin 13528 -> 13528 bytes .../docs/html/images}/uvm_ref_reporting.gif | Bin 5519 -> 5519 bytes .../docs/html/images}/uvm_ref_root.gif | Bin 2933 -> 2933 bytes .../docs/html/images}/uvm_ref_seq_item_ports.gif | Bin 9395 -> 9395 bytes .../docs/html/images}/uvm_ref_sequence.gif | Bin 8290 -> 8290 bytes .../docs/html/images}/uvm_ref_sequencer.gif | Bin 6966 -> 6966 bytes .../docs/html/images}/uvm_ref_sync.gif | Bin 3329 -> 3329 bytes .../docs/html/images}/uvm_ref_tlm_analysis_if.gif | Bin 1743 -> 1743 bytes .../docs/html/images}/uvm_ref_tlm_bidir_ports.gif | Bin 8191 -> 8191 bytes .../docs/html/images}/uvm_ref_tlm_get_peek_ifs.gif | Bin 15520 -> 15520 bytes .../docs/html/images}/uvm_ref_tlm_hierarchy.gif | Bin 7588 -> 7588 bytes .../html/images}/uvm_ref_tlm_master_slave_ifs.gif | Bin 25395 -> 25395 bytes .../docs/html/images}/uvm_ref_tlm_put_ifs.gif | Bin 4464 -> 4464 bytes .../html/images}/uvm_ref_tlm_transport_ifs.gif | Bin 5597 -> 5597 bytes .../docs/html/images}/uvm_ref_tlm_uni_ports.gif | Bin 7105 -> 7105 bytes distrib/docs/html/index.html | 34 + distrib/docs/html/index/Classes.html | 1626 +++++++++ distrib/docs/html/index/Constants.html | 37 + distrib/docs/html/index/Files.html | 47 + distrib/docs/html/index/General.html | 1356 +++++++ distrib/docs/html/index/General10.html | 274 ++ distrib/docs/html/index/General11.html | 3015 ++++++++++++++++ distrib/docs/html/index/General12.html | 950 +++++ distrib/docs/html/index/General2.html | 2402 +++++++++++++ distrib/docs/html/index/General3.html | 336 ++ distrib/docs/html/index/General4.html | 2582 ++++++++++++++ distrib/docs/html/index/General5.html | 1036 ++++++ distrib/docs/html/index/General6.html | 1497 ++++++++ distrib/docs/html/index/General7.html | 2337 +++++++++++++ distrib/docs/html/index/General8.html | 1441 ++++++++ distrib/docs/html/index/General9.html | 2603 ++++++++++++++ distrib/docs/html/index/Macros.html | 32 + distrib/docs/html/index/Methods.html | 2256 ++++++++++++ distrib/docs/html/index/Methods2.html | 1702 +++++++++ distrib/docs/html/index/Methods3.html | 2582 ++++++++++++++ distrib/docs/html/index/Methods4.html | 2419 +++++++++++++ distrib/docs/html/index/Methods5.html | 3681 ++++++++++++++++++++ distrib/docs/html/index/Methods6.html | 2790 +++++++++++++++ distrib/docs/html/index/Methods7.html | 2321 ++++++++++++ distrib/docs/html/index/Ports.html | 62 + distrib/docs/html/index/Types.html | 37 + distrib/docs/html/index/Variables.html | 481 +++ .../docs/html/javascript/main.js | 0 distrib/docs/html/javascript/searchdata.js | 272 ++ {natural_docs => distrib/docs/html}/logo.html | 0 distrib/docs/html/menu.html | 25 + distrib/docs/html/search/ClassesP.html | 28 + distrib/docs/html/search/ClassesU.html | 260 ++ distrib/docs/html/search/ConstantsB.html | 29 + distrib/docs/html/search/ConstantsE.html | 29 + distrib/docs/html/search/ConstantsU.html | 159 + distrib/docs/html/search/FilesA.html | 28 + distrib/docs/html/search/FilesM.html | 28 + distrib/docs/html/search/FilesR.html | 28 + distrib/docs/html/search/FilesT.html | 30 + distrib/docs/html/search/FilesU.html | 29 + distrib/docs/html/search/GeneralA.html | 70 + distrib/docs/html/search/GeneralB.html | 68 + distrib/docs/html/search/GeneralC.html | 97 + distrib/docs/html/search/GeneralD.html | 100 + distrib/docs/html/search/GeneralE.html | 57 + distrib/docs/html/search/GeneralF.html | 59 + distrib/docs/html/search/GeneralG.html | 268 ++ distrib/docs/html/search/GeneralH.html | 40 + distrib/docs/html/search/GeneralI.html | 96 + distrib/docs/html/search/GeneralJ.html | 30 + distrib/docs/html/search/GeneralK.html | 30 + distrib/docs/html/search/GeneralL.html | 46 + distrib/docs/html/search/GeneralM.html | 152 + distrib/docs/html/search/GeneralN.html | 42 + distrib/docs/html/search/GeneralNumbers.html | 32 + distrib/docs/html/search/GeneralO.html | 39 + distrib/docs/html/search/GeneralP.html | 138 + distrib/docs/html/search/GeneralQ.html | 28 + distrib/docs/html/search/GeneralR.html | 111 + distrib/docs/html/search/GeneralS.html | 224 ++ distrib/docs/html/search/GeneralSymbols.html | 219 ++ distrib/docs/html/search/GeneralT.html | 78 + distrib/docs/html/search/GeneralU.html | 530 +++ distrib/docs/html/search/GeneralV.html | 34 + distrib/docs/html/search/GeneralW.html | 54 + distrib/docs/html/search/MacrosSymbols.html | 195 ++ distrib/docs/html/search/MacrosU.html | 37 + distrib/docs/html/search/MethodsA.html | 52 + distrib/docs/html/search/MethodsB.html | 42 + distrib/docs/html/search/MethodsC.html | 69 + distrib/docs/html/search/MethodsD.html | 87 + distrib/docs/html/search/MethodsE.html | 42 + distrib/docs/html/search/MethodsF.html | 47 + distrib/docs/html/search/MethodsG.html | 257 ++ distrib/docs/html/search/MethodsH.html | 33 + distrib/docs/html/search/MethodsI.html | 79 + distrib/docs/html/search/MethodsJ.html | 29 + distrib/docs/html/search/MethodsK.html | 28 + distrib/docs/html/search/MethodsL.html | 38 + distrib/docs/html/search/MethodsM.html | 34 + distrib/docs/html/search/MethodsN.html | 35 + distrib/docs/html/search/MethodsO.html | 31 + distrib/docs/html/search/MethodsP.html | 101 + distrib/docs/html/search/MethodsR.html | 72 + distrib/docs/html/search/MethodsS.html | 173 + distrib/docs/html/search/MethodsT.html | 40 + distrib/docs/html/search/MethodsU.html | 66 + distrib/docs/html/search/MethodsV.html | 28 + distrib/docs/html/search/MethodsW.html | 51 + distrib/docs/html/search/NoResults.html | 22 + distrib/docs/html/search/PortsA.html | 30 + distrib/docs/html/search/PortsB.html | 29 + distrib/docs/html/search/PortsG.html | 31 + distrib/docs/html/search/PortsM.html | 28 + distrib/docs/html/search/PortsP.html | 32 + distrib/docs/html/search/PortsR.html | 33 + distrib/docs/html/search/PortsS.html | 29 + distrib/docs/html/search/PortsT.html | 28 + distrib/docs/html/search/TypesA.html | 28 + distrib/docs/html/search/TypesL.html | 28 + distrib/docs/html/search/TypesU.html | 76 + distrib/docs/html/search/VariablesA.html | 31 + distrib/docs/html/search/VariablesB.html | 35 + distrib/docs/html/search/VariablesC.html | 28 + distrib/docs/html/search/VariablesD.html | 36 + distrib/docs/html/search/VariablesE.html | 36 + distrib/docs/html/search/VariablesF.html | 32 + distrib/docs/html/search/VariablesH.html | 29 + distrib/docs/html/search/VariablesI.html | 30 + distrib/docs/html/search/VariablesK.html | 29 + distrib/docs/html/search/VariablesL.html | 31 + distrib/docs/html/search/VariablesM.html | 48 + distrib/docs/html/search/VariablesN.html | 30 + distrib/docs/html/search/VariablesO.html | 29 + distrib/docs/html/search/VariablesP.html | 38 + distrib/docs/html/search/VariablesR.html | 38 + distrib/docs/html/search/VariablesS.html | 46 + distrib/docs/html/search/VariablesSymbols.html | 44 + distrib/docs/html/search/VariablesT.html | 33 + distrib/docs/html/search/VariablesU.html | 38 + distrib/docs/html/search/VariablesV.html | 29 + distrib/{ => docs/html}/src/base/uvm_barrier.svh | 0 distrib/{ => docs/html}/src/base/uvm_base.svh | 0 .../html}/src/base/uvm_bottomup_phase.svh | 0 distrib/{ => docs/html}/src/base/uvm_callback.svh | 0 .../html}/src/base/uvm_cmdline_processor.svh | 0 .../{ => docs/html}/src/base/uvm_common_phases.svh | 0 distrib/{ => docs/html}/src/base/uvm_comparer.svh | 0 distrib/{ => docs/html}/src/base/uvm_component.svh | 0 distrib/{ => docs/html}/src/base/uvm_config_db.svh | 0 .../{ => docs/html}/src/base/uvm_coreservice.svh | 0 distrib/{ => docs/html}/src/base/uvm_domain.svh | 0 distrib/{ => docs/html}/src/base/uvm_event.svh | 0 .../html}/src/base/uvm_event_callback.svh | 0 distrib/{ => docs/html}/src/base/uvm_factory.svh | 0 distrib/{ => docs/html}/src/base/uvm_globals.svh | 0 distrib/{ => docs/html}/src/base/uvm_heartbeat.svh | 0 distrib/{ => docs/html}/src/base/uvm_links.svh | 0 distrib/{ => docs/html}/src/base/uvm_misc.svh | 0 distrib/{ => docs/html}/src/base/uvm_object.svh | 0 .../html}/src/base/uvm_object_globals.svh | 0 distrib/{ => docs/html}/src/base/uvm_objection.svh | 0 distrib/{ => docs/html}/src/base/uvm_packer.svh | 0 distrib/{ => docs/html}/src/base/uvm_phase.svh | 0 distrib/{ => docs/html}/src/base/uvm_pool.svh | 0 distrib/{ => docs/html}/src/base/uvm_port_base.svh | 0 distrib/{ => docs/html}/src/base/uvm_printer.svh | 0 distrib/{ => docs/html}/src/base/uvm_queue.svh | 0 distrib/{ => docs/html}/src/base/uvm_recorder.svh | 0 distrib/{ => docs/html}/src/base/uvm_registry.svh | 0 .../html}/src/base/uvm_report_catcher.svh | 0 .../html}/src/base/uvm_report_handler.svh | 0 .../html}/src/base/uvm_report_message.svh | 0 .../{ => docs/html}/src/base/uvm_report_object.svh | 0 .../{ => docs/html}/src/base/uvm_report_server.svh | 0 distrib/{ => docs/html}/src/base/uvm_resource.svh | 0 .../{ => docs/html}/src/base/uvm_resource_db.svh | 0 .../src/base/uvm_resource_specializations.svh | 0 distrib/{ => docs/html}/src/base/uvm_root.svh | 0 .../html}/src/base/uvm_runtime_phases.svh | 0 .../{ => docs/html}/src/base/uvm_spell_chkr.svh | 0 .../{ => docs/html}/src/base/uvm_task_phase.svh | 0 .../{ => docs/html}/src/base/uvm_topdown_phase.svh | 0 .../{ => docs/html}/src/base/uvm_tr_database.svh | 0 distrib/{ => docs/html}/src/base/uvm_tr_stream.svh | 0 .../{ => docs/html}/src/base/uvm_transaction.svh | 0 distrib/{ => docs/html}/src/base/uvm_traversal.svh | 0 distrib/{ => docs/html}/src/base/uvm_version.svh | 0 distrib/{ => docs/html}/src/comps/uvm_agent.svh | 0 .../html}/src/comps/uvm_algorithmic_comparator.svh | 0 distrib/{ => docs/html}/src/comps/uvm_comps.svh | 0 distrib/{ => docs/html}/src/comps/uvm_driver.svh | 0 distrib/{ => docs/html}/src/comps/uvm_env.svh | 0 .../html}/src/comps/uvm_in_order_comparator.svh | 0 distrib/{ => docs/html}/src/comps/uvm_monitor.svh | 0 distrib/{ => docs/html}/src/comps/uvm_pair.svh | 0 distrib/{ => docs/html}/src/comps/uvm_policies.svh | 0 .../{ => docs/html}/src/comps/uvm_push_driver.svh | 0 .../html}/src/comps/uvm_random_stimulus.svh | 0 .../{ => docs/html}/src/comps/uvm_scoreboard.svh | 0 .../{ => docs/html}/src/comps/uvm_subscriber.svh | 0 distrib/{ => docs/html}/src/comps/uvm_test.svh | 0 distrib/{ => docs/html}/src/dap/uvm_dap.svh | 0 .../html}/src/dap/uvm_get_to_lock_dap.svh | 0 .../html}/src/dap/uvm_set_before_get_dap.svh | 0 .../html}/src/dap/uvm_set_get_dap_base.svh | 0 .../html}/src/dap/uvm_simple_lock_dap.svh | 0 .../html}/src/deprecated/readme.important | 0 .../src/deprecated/uvm_resource_converter.svh | 0 distrib/{ => docs/html}/src/dpi/uvm_common.c | 0 distrib/{ => docs/html}/src/dpi/uvm_dpi.cc | 0 distrib/{ => docs/html}/src/dpi/uvm_dpi.h | 0 distrib/{ => docs/html}/src/dpi/uvm_dpi.svh | 0 distrib/{ => docs/html}/src/dpi/uvm_hdl.c | 0 distrib/{ => docs/html}/src/dpi/uvm_hdl.svh | 0 distrib/docs/html/src/dpi/uvm_hdl_inca.c | 671 ++++ distrib/{ => docs/html}/src/dpi/uvm_hdl_questa.c | 0 distrib/{ => docs/html}/src/dpi/uvm_hdl_vcs.c | 0 distrib/{ => docs/html}/src/dpi/uvm_regex.cc | 0 distrib/{ => docs/html}/src/dpi/uvm_regex.svh | 0 distrib/{ => docs/html}/src/dpi/uvm_svcmd_dpi.c | 0 distrib/{ => docs/html}/src/dpi/uvm_svcmd_dpi.svh | 0 .../html}/src/macros/uvm_callback_defines.svh | 0 .../html}/src/macros/uvm_deprecated_defines.svh | 0 .../html}/src/macros/uvm_message_defines.svh | 0 .../html}/src/macros/uvm_object_defines.svh | 0 .../html}/src/macros/uvm_phase_defines.svh | 0 .../html}/src/macros/uvm_printer_defines.svh | 0 .../{ => docs/html}/src/macros/uvm_reg_defines.svh | 0 .../html}/src/macros/uvm_sequence_defines.svh | 0 .../{ => docs/html}/src/macros/uvm_tlm_defines.svh | 0 .../{ => docs/html}/src/macros/uvm_undefineall.svh | 0 .../html}/src/macros/uvm_version_defines.svh | 0 .../docs/html/src/overviews}/base.txt | 0 .../docs/html/src/overviews}/cmdlineproc.txt | 0 .../docs/html/src/overviews}/comparators.txt | 0 .../docs/html/src/overviews}/components.txt | 0 .../docs/html/src/overviews}/config_and_res.txt | 0 .../docs/html/src/overviews}/containers.txt | 0 .../docs/html/src/overviews}/dap.txt | 0 .../docs/html/src/overviews}/factory.txt | 0 .../docs/html/src/overviews}/intro.txt | 0 .../docs/html/src/overviews}/legal.txt | 0 .../docs/html/src/overviews}/phasing.txt | 0 .../docs/html/src/overviews}/policies.txt | 0 .../docs/html/src/overviews}/recording.txt | 0 .../docs/html/src/overviews}/registers.txt | 0 .../docs/html/src/overviews}/relnotes.txt | 0 .../docs/html/src/overviews}/reporting.txt | 0 .../docs/html/src/overviews}/sequencers.txt | 0 .../docs/html/src/overviews}/sequences.txt | 0 .../docs/html/src/overviews}/synchro.txt | 0 .../docs/html/src/overviews}/test-phasing.txt | 0 .../docs/html/src/overviews}/tlm1.txt | 0 .../docs/html/src/overviews}/tlm2.txt | 0 .../docs/html/src/overviews}/tlm_ifs_and_ports.txt | 0 .../html}/src/reg/sequences/uvm_mem_access_seq.svh | 0 .../html}/src/reg/sequences/uvm_mem_walk_seq.svh | 0 .../html}/src/reg/sequences/uvm_reg_access_seq.svh | 0 .../src/reg/sequences/uvm_reg_bit_bash_seq.svh | 0 .../src/reg/sequences/uvm_reg_hw_reset_seq.svh | 0 .../src/reg/sequences/uvm_reg_mem_built_in_seq.svh | 0 .../reg/sequences/uvm_reg_mem_hdl_paths_seq.svh | 0 .../sequences/uvm_reg_mem_shared_access_seq.svh | 0 distrib/{ => docs/html}/src/reg/uvm_mem.svh | 0 distrib/{ => docs/html}/src/reg/uvm_mem_mam.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg.svh | 0 .../{ => docs/html}/src/reg/uvm_reg_adapter.svh | 0 .../{ => docs/html}/src/reg/uvm_reg_backdoor.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg_block.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg_cbs.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg_field.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg_fifo.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg_file.svh | 0 .../{ => docs/html}/src/reg/uvm_reg_indirect.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg_item.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg_map.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg_model.svh | 0 .../{ => docs/html}/src/reg/uvm_reg_predictor.svh | 0 .../{ => docs/html}/src/reg/uvm_reg_sequence.svh | 0 distrib/{ => docs/html}/src/reg/uvm_vreg.svh | 0 distrib/{ => docs/html}/src/reg/uvm_vreg_field.svh | 0 .../{ => docs/html}/src/seq/uvm_push_sequencer.svh | 0 distrib/{ => docs/html}/src/seq/uvm_seq.svh | 0 distrib/{ => docs/html}/src/seq/uvm_sequence.svh | 0 .../{ => docs/html}/src/seq/uvm_sequence_base.svh | 0 .../html}/src/seq/uvm_sequence_builtin.svh | 0 .../{ => docs/html}/src/seq/uvm_sequence_item.svh | 0 .../html}/src/seq/uvm_sequence_library.svh | 0 distrib/{ => docs/html}/src/seq/uvm_sequencer.svh | 0 .../html}/src/seq/uvm_sequencer_analysis_fifo.svh | 0 .../{ => docs/html}/src/seq/uvm_sequencer_base.svh | 0 .../html}/src/seq/uvm_sequencer_param_base.svh | 0 .../{ => docs/html}/src/tlm1/uvm_analysis_port.svh | 0 distrib/{ => docs/html}/src/tlm1/uvm_exports.svh | 0 distrib/{ => docs/html}/src/tlm1/uvm_imps.svh | 0 distrib/{ => docs/html}/src/tlm1/uvm_ports.svh | 0 .../html}/src/tlm1/uvm_sqr_connections.svh | 0 distrib/{ => docs/html}/src/tlm1/uvm_sqr_ifs.svh | 0 distrib/{ => docs/html}/src/tlm1/uvm_tlm.svh | 0 .../{ => docs/html}/src/tlm1/uvm_tlm_fifo_base.svh | 0 distrib/{ => docs/html}/src/tlm1/uvm_tlm_fifos.svh | 0 distrib/{ => docs/html}/src/tlm1/uvm_tlm_ifs.svh | 0 distrib/{ => docs/html}/src/tlm1/uvm_tlm_imps.svh | 0 .../{ => docs/html}/src/tlm1/uvm_tlm_req_rsp.svh | 0 distrib/{ => docs/html}/src/tlm2/uvm_tlm2.svh | 0 .../{ => docs/html}/src/tlm2/uvm_tlm2_defines.svh | 0 .../{ => docs/html}/src/tlm2/uvm_tlm2_exports.svh | 0 .../html}/src/tlm2/uvm_tlm2_generic_payload.svh | 0 distrib/{ => docs/html}/src/tlm2/uvm_tlm2_ifs.svh | 0 distrib/{ => docs/html}/src/tlm2/uvm_tlm2_imps.svh | 0 .../{ => docs/html}/src/tlm2/uvm_tlm2_ports.svh | 0 .../{ => docs/html}/src/tlm2/uvm_tlm2_sockets.svh | 0 .../html}/src/tlm2/uvm_tlm2_sockets_base.svh | 0 distrib/{ => docs/html}/src/tlm2/uvm_tlm2_time.svh | 0 distrib/{ => docs/html}/src/uvm.sv | 0 distrib/{ => docs/html}/src/uvm_macros.svh | 0 distrib/{ => docs/html}/src/uvm_pkg.sv | 0 .../Styles => distrib/docs/html/styles}/main.css | 0 distrib/src/base/uvm_component.svh | 6 +- distrib/src/base/uvm_object.svh | 2 +- distrib/src/base/uvm_port_base.svh | 6 +- distrib/src/base/uvm_report_message.svh | 4 +- distrib/src/base/uvm_report_object.svh | 12 +- distrib/src/base/uvm_transaction.svh | 6 +- distrib/src/macros/uvm_message_defines.svh | 6 +- uvm_ref/intro.txt | 8 +- 483 files changed, 127173 insertions(+), 25 deletions(-) create mode 100644 distrib/docs/html/files/base/uvm_barrier-svh.html create mode 100644 distrib/docs/html/files/base/uvm_bottomup_phase-svh.html create mode 100644 distrib/docs/html/files/base/uvm_callback-svh.html create mode 100644 distrib/docs/html/files/base/uvm_cmdline_processor-svh.html create mode 100644 distrib/docs/html/files/base/uvm_common_phases-svh.html create mode 100644 distrib/docs/html/files/base/uvm_comparer-svh.html create mode 100644 distrib/docs/html/files/base/uvm_component-svh.html create mode 100644 distrib/docs/html/files/base/uvm_config_db-svh.html create mode 100644 distrib/docs/html/files/base/uvm_coreservice-svh.html create mode 100644 distrib/docs/html/files/base/uvm_domain-svh.html create mode 100644 distrib/docs/html/files/base/uvm_event-svh.html create mode 100644 distrib/docs/html/files/base/uvm_event_callback-svh.html create mode 100644 distrib/docs/html/files/base/uvm_factory-svh.html create mode 100644 distrib/docs/html/files/base/uvm_globals-svh.html create mode 100644 distrib/docs/html/files/base/uvm_heartbeat-svh.html create mode 100644 distrib/docs/html/files/base/uvm_links-svh.html create mode 100644 distrib/docs/html/files/base/uvm_misc-svh.html create mode 100644 distrib/docs/html/files/base/uvm_object-svh.html create mode 100644 distrib/docs/html/files/base/uvm_object_globals-svh.html create mode 100644 distrib/docs/html/files/base/uvm_objection-svh.html create mode 100644 distrib/docs/html/files/base/uvm_packer-svh.html create mode 100644 distrib/docs/html/files/base/uvm_phase-svh.html create mode 100644 distrib/docs/html/files/base/uvm_pool-svh.html create mode 100644 distrib/docs/html/files/base/uvm_port_base-svh.html create mode 100644 distrib/docs/html/files/base/uvm_printer-svh.html create mode 100644 distrib/docs/html/files/base/uvm_queue-svh.html create mode 100644 distrib/docs/html/files/base/uvm_recorder-svh.html create mode 100644 distrib/docs/html/files/base/uvm_registry-svh.html create mode 100644 distrib/docs/html/files/base/uvm_report_catcher-svh.html create mode 100644 distrib/docs/html/files/base/uvm_report_handler-svh.html create mode 100644 distrib/docs/html/files/base/uvm_report_message-svh.html create mode 100644 distrib/docs/html/files/base/uvm_report_object-svh.html create mode 100644 distrib/docs/html/files/base/uvm_report_server-svh.html create mode 100644 distrib/docs/html/files/base/uvm_resource-svh.html create mode 100644 distrib/docs/html/files/base/uvm_resource_db-svh.html create mode 100644 distrib/docs/html/files/base/uvm_root-svh.html create mode 100644 distrib/docs/html/files/base/uvm_runtime_phases-svh.html create mode 100644 distrib/docs/html/files/base/uvm_task_phase-svh.html create mode 100644 distrib/docs/html/files/base/uvm_topdown_phase-svh.html create mode 100644 distrib/docs/html/files/base/uvm_tr_database-svh.html create mode 100644 distrib/docs/html/files/base/uvm_tr_stream-svh.html create mode 100644 distrib/docs/html/files/base/uvm_transaction-svh.html create mode 100644 distrib/docs/html/files/base/uvm_traversal-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_agent-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_algorithmic_comparator-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_driver-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_env-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_in_order_comparator-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_monitor-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_pair-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_policies-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_push_driver-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_random_stimulus-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_scoreboard-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_subscriber-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_test-svh.html create mode 100644 distrib/docs/html/files/dap/uvm_get_to_lock_dap-svh.html create mode 100644 distrib/docs/html/files/dap/uvm_set_before_get_dap-svh.html create mode 100644 distrib/docs/html/files/dap/uvm_set_get_dap_base-svh.html create mode 100644 distrib/docs/html/files/dap/uvm_simple_lock_dap-svh.html create mode 100644 distrib/docs/html/files/dpi/uvm_hdl-svh.html create mode 100644 distrib/docs/html/files/macros/uvm_callback_defines-svh.html create mode 100644 distrib/docs/html/files/macros/uvm_message_defines-svh.html create mode 100644 distrib/docs/html/files/macros/uvm_object_defines-svh.html create mode 100644 distrib/docs/html/files/macros/uvm_reg_defines-svh.html create mode 100644 distrib/docs/html/files/macros/uvm_sequence_defines-svh.html create mode 100644 distrib/docs/html/files/macros/uvm_tlm_defines-svh.html create mode 100644 distrib/docs/html/files/macros/uvm_version_defines-svh.html create mode 100644 distrib/docs/html/files/overviews/base-txt.html create mode 100644 distrib/docs/html/files/overviews/cmdlineproc-txt.html create mode 100644 distrib/docs/html/files/overviews/comparators-txt.html create mode 100644 distrib/docs/html/files/overviews/components-txt.html create mode 100644 distrib/docs/html/files/overviews/config_and_res-txt.html create mode 100644 distrib/docs/html/files/overviews/containers-txt.html create mode 100644 distrib/docs/html/files/overviews/dap-txt.html create mode 100644 distrib/docs/html/files/overviews/factory-txt.html create mode 100644 distrib/docs/html/files/overviews/intro-txt.html create mode 100644 distrib/docs/html/files/overviews/phasing-txt.html create mode 100644 distrib/docs/html/files/overviews/policies-txt.html create mode 100644 distrib/docs/html/files/overviews/recording-txt.html create mode 100644 distrib/docs/html/files/overviews/registers-txt.html create mode 100644 distrib/docs/html/files/overviews/relnotes-txt.html create mode 100644 distrib/docs/html/files/overviews/reporting-txt.html create mode 100644 distrib/docs/html/files/overviews/sequencers-txt.html create mode 100644 distrib/docs/html/files/overviews/sequences-txt.html create mode 100644 distrib/docs/html/files/overviews/synchro-txt.html create mode 100644 distrib/docs/html/files/overviews/test-phasing-txt.html create mode 100644 distrib/docs/html/files/overviews/tlm1-txt.html create mode 100644 distrib/docs/html/files/overviews/tlm2-txt.html create mode 100644 distrib/docs/html/files/overviews/tlm_ifs_and_ports-txt.html create mode 100644 distrib/docs/html/files/reg/sequences/uvm_mem_access_seq-svh.html create mode 100644 distrib/docs/html/files/reg/sequences/uvm_mem_walk_seq-svh.html create mode 100644 distrib/docs/html/files/reg/sequences/uvm_reg_access_seq-svh.html create mode 100644 distrib/docs/html/files/reg/sequences/uvm_reg_bit_bash_seq-svh.html create mode 100644 distrib/docs/html/files/reg/sequences/uvm_reg_hw_reset_seq-svh.html create mode 100644 distrib/docs/html/files/reg/sequences/uvm_reg_mem_built_in_seq-svh.html create mode 100644 distrib/docs/html/files/reg/sequences/uvm_reg_mem_hdl_paths_seq-svh.html create mode 100644 distrib/docs/html/files/reg/sequences/uvm_reg_mem_shared_access_seq-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_mem-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_mem_mam-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_adapter-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_backdoor-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_block-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_cbs-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_field-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_fifo-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_file-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_indirect-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_item-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_map-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_model-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_predictor-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_sequence-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_vreg-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_vreg_field-svh.html create mode 100644 distrib/docs/html/files/seq/uvm_push_sequencer-svh.html create mode 100644 distrib/docs/html/files/seq/uvm_sequence-svh.html create mode 100644 distrib/docs/html/files/seq/uvm_sequence_base-svh.html create mode 100644 distrib/docs/html/files/seq/uvm_sequence_item-svh.html create mode 100644 distrib/docs/html/files/seq/uvm_sequence_library-svh.html create mode 100644 distrib/docs/html/files/seq/uvm_sequencer-svh.html create mode 100644 distrib/docs/html/files/seq/uvm_sequencer_base-svh.html create mode 100644 distrib/docs/html/files/seq/uvm_sequencer_param_base-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_analysis_port-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_exports-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_imps-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_ports-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_sqr_connections-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_sqr_ifs-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_tlm_fifo_base-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_tlm_fifos-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_tlm_ifs-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_tlm_req_rsp-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_defines-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_exports-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_generic_payload-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_ifs-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_imps-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_ports-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_sockets-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_sockets_base-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_time-svh.html copy {natural_docs => distrib/docs/html}/images/bg_column_green.gif (100%) copy {natural_docs => distrib/docs/html}/images/bg_column_green_grey.gif (100%) copy {natural_docs => distrib/docs/html}/images/bg_feature.jpg (100%) copy {natural_docs => distrib/docs/html}/images/bg_h3_roundcorners.gif (100%) copy {natural_docs => distrib/docs/html}/images/bg_main.gif (100%) copy {natural_docs => distrib/docs/html}/images/bg_masthead.jpg (100%) copy {natural_docs => distrib/docs/html}/images/bg_navbar.gif (100%) copy {natural_docs => distrib/docs/html}/images/bg_roundcorners2.gif (100%) copy {natural_docs => distrib/docs/html}/images/bg_tableheader.gif (100%) copy {natural_docs => distrib/docs/html}/images/bg_thick_grey_bar.gif (100%) copy {natural_docs => distrib/docs/html}/images/bullet_GreenOnGrey.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_base.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_comparators.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_components.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_factory.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_phases_uml.gif (100%) mode change 100755 => 100644 copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_recording.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_reg_class_map.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_reporting.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_root.gif (100%) mode change 100755 => 100644 copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_seq_item_ports.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_sequence.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_sequencer.gif (100%) mode change 100755 => 100644 copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_sync.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_tlm_analysis_if.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_tlm_bidir_ports.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_tlm_get_peek_ifs.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_tlm_hierarchy.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_tlm_master_slave_ifs.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_tlm_put_ifs.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_tlm_transport_ifs.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_tlm_uni_ports.gif (100%) create mode 100644 distrib/docs/html/index.html create mode 100644 distrib/docs/html/index/Classes.html create mode 100644 distrib/docs/html/index/Constants.html create mode 100644 distrib/docs/html/index/Files.html create mode 100644 distrib/docs/html/index/General.html create mode 100644 distrib/docs/html/index/General10.html create mode 100644 distrib/docs/html/index/General11.html create mode 100644 distrib/docs/html/index/General12.html create mode 100644 distrib/docs/html/index/General2.html create mode 100644 distrib/docs/html/index/General3.html create mode 100644 distrib/docs/html/index/General4.html create mode 100644 distrib/docs/html/index/General5.html create mode 100644 distrib/docs/html/index/General6.html create mode 100644 distrib/docs/html/index/General7.html create mode 100644 distrib/docs/html/index/General8.html create mode 100644 distrib/docs/html/index/General9.html create mode 100644 distrib/docs/html/index/Macros.html create mode 100644 distrib/docs/html/index/Methods.html create mode 100644 distrib/docs/html/index/Methods2.html create mode 100644 distrib/docs/html/index/Methods3.html create mode 100644 distrib/docs/html/index/Methods4.html create mode 100644 distrib/docs/html/index/Methods5.html create mode 100644 distrib/docs/html/index/Methods6.html create mode 100644 distrib/docs/html/index/Methods7.html create mode 100644 distrib/docs/html/index/Ports.html create mode 100644 distrib/docs/html/index/Types.html create mode 100644 distrib/docs/html/index/Variables.html copy natural_docs/JavaScript/NaturalDocs.js => distrib/docs/html/javascript/main.js (100%) create mode 100644 distrib/docs/html/javascript/searchdata.js copy {natural_docs => distrib/docs/html}/logo.html (100%) create mode 100644 distrib/docs/html/menu.html create mode 100644 distrib/docs/html/search/ClassesP.html create mode 100644 distrib/docs/html/search/ClassesU.html create mode 100644 distrib/docs/html/search/ConstantsB.html create mode 100644 distrib/docs/html/search/ConstantsE.html create mode 100644 distrib/docs/html/search/ConstantsU.html create mode 100644 distrib/docs/html/search/FilesA.html create mode 100644 distrib/docs/html/search/FilesM.html create mode 100644 distrib/docs/html/search/FilesR.html create mode 100644 distrib/docs/html/search/FilesT.html create mode 100644 distrib/docs/html/search/FilesU.html create mode 100644 distrib/docs/html/search/GeneralA.html create mode 100644 distrib/docs/html/search/GeneralB.html create mode 100644 distrib/docs/html/search/GeneralC.html create mode 100644 distrib/docs/html/search/GeneralD.html create mode 100644 distrib/docs/html/search/GeneralE.html create mode 100644 distrib/docs/html/search/GeneralF.html create mode 100644 distrib/docs/html/search/GeneralG.html create mode 100644 distrib/docs/html/search/GeneralH.html create mode 100644 distrib/docs/html/search/GeneralI.html create mode 100644 distrib/docs/html/search/GeneralJ.html create mode 100644 distrib/docs/html/search/GeneralK.html create mode 100644 distrib/docs/html/search/GeneralL.html create mode 100644 distrib/docs/html/search/GeneralM.html create mode 100644 distrib/docs/html/search/GeneralN.html create mode 100644 distrib/docs/html/search/GeneralNumbers.html create mode 100644 distrib/docs/html/search/GeneralO.html create mode 100644 distrib/docs/html/search/GeneralP.html create mode 100644 distrib/docs/html/search/GeneralQ.html create mode 100644 distrib/docs/html/search/GeneralR.html create mode 100644 distrib/docs/html/search/GeneralS.html create mode 100644 distrib/docs/html/search/GeneralSymbols.html create mode 100644 distrib/docs/html/search/GeneralT.html create mode 100644 distrib/docs/html/search/GeneralU.html create mode 100644 distrib/docs/html/search/GeneralV.html create mode 100644 distrib/docs/html/search/GeneralW.html create mode 100644 distrib/docs/html/search/MacrosSymbols.html create mode 100644 distrib/docs/html/search/MacrosU.html create mode 100644 distrib/docs/html/search/MethodsA.html create mode 100644 distrib/docs/html/search/MethodsB.html create mode 100644 distrib/docs/html/search/MethodsC.html create mode 100644 distrib/docs/html/search/MethodsD.html create mode 100644 distrib/docs/html/search/MethodsE.html create mode 100644 distrib/docs/html/search/MethodsF.html create mode 100644 distrib/docs/html/search/MethodsG.html create mode 100644 distrib/docs/html/search/MethodsH.html create mode 100644 distrib/docs/html/search/MethodsI.html create mode 100644 distrib/docs/html/search/MethodsJ.html create mode 100644 distrib/docs/html/search/MethodsK.html create mode 100644 distrib/docs/html/search/MethodsL.html create mode 100644 distrib/docs/html/search/MethodsM.html create mode 100644 distrib/docs/html/search/MethodsN.html create mode 100644 distrib/docs/html/search/MethodsO.html create mode 100644 distrib/docs/html/search/MethodsP.html create mode 100644 distrib/docs/html/search/MethodsR.html create mode 100644 distrib/docs/html/search/MethodsS.html create mode 100644 distrib/docs/html/search/MethodsT.html create mode 100644 distrib/docs/html/search/MethodsU.html create mode 100644 distrib/docs/html/search/MethodsV.html create mode 100644 distrib/docs/html/search/MethodsW.html create mode 100644 distrib/docs/html/search/NoResults.html create mode 100644 distrib/docs/html/search/PortsA.html create mode 100644 distrib/docs/html/search/PortsB.html create mode 100644 distrib/docs/html/search/PortsG.html create mode 100644 distrib/docs/html/search/PortsM.html create mode 100644 distrib/docs/html/search/PortsP.html create mode 100644 distrib/docs/html/search/PortsR.html create mode 100644 distrib/docs/html/search/PortsS.html create mode 100644 distrib/docs/html/search/PortsT.html create mode 100644 distrib/docs/html/search/TypesA.html create mode 100644 distrib/docs/html/search/TypesL.html create mode 100644 distrib/docs/html/search/TypesU.html create mode 100644 distrib/docs/html/search/VariablesA.html create mode 100644 distrib/docs/html/search/VariablesB.html create mode 100644 distrib/docs/html/search/VariablesC.html create mode 100644 distrib/docs/html/search/VariablesD.html create mode 100644 distrib/docs/html/search/VariablesE.html create mode 100644 distrib/docs/html/search/VariablesF.html create mode 100644 distrib/docs/html/search/VariablesH.html create mode 100644 distrib/docs/html/search/VariablesI.html create mode 100644 distrib/docs/html/search/VariablesK.html create mode 100644 distrib/docs/html/search/VariablesL.html create mode 100644 distrib/docs/html/search/VariablesM.html create mode 100644 distrib/docs/html/search/VariablesN.html create mode 100644 distrib/docs/html/search/VariablesO.html create mode 100644 distrib/docs/html/search/VariablesP.html create mode 100644 distrib/docs/html/search/VariablesR.html create mode 100644 distrib/docs/html/search/VariablesS.html create mode 100644 distrib/docs/html/search/VariablesSymbols.html create mode 100644 distrib/docs/html/search/VariablesT.html create mode 100644 distrib/docs/html/search/VariablesU.html create mode 100644 distrib/docs/html/search/VariablesV.html copy distrib/{ => docs/html}/src/base/uvm_barrier.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_base.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_bottomup_phase.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_callback.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_cmdline_processor.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_common_phases.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_comparer.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_component.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_config_db.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_coreservice.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_domain.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_event.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_event_callback.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_factory.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_globals.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_heartbeat.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_links.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_misc.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_object.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_object_globals.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_objection.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_packer.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_phase.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_pool.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_port_base.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_printer.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_queue.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_recorder.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_registry.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_report_catcher.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_report_handler.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_report_message.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_report_object.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_report_server.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_resource.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_resource_db.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_resource_specializations.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_root.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_runtime_phases.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_spell_chkr.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_task_phase.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_topdown_phase.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_tr_database.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_tr_stream.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_transaction.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_traversal.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_version.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_agent.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_algorithmic_comparator.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_comps.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_driver.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_env.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_in_order_comparator.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_monitor.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_pair.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_policies.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_push_driver.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_random_stimulus.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_scoreboard.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_subscriber.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_test.svh (100%) copy distrib/{ => docs/html}/src/dap/uvm_dap.svh (100%) copy distrib/{ => docs/html}/src/dap/uvm_get_to_lock_dap.svh (100%) copy distrib/{ => docs/html}/src/dap/uvm_set_before_get_dap.svh (100%) copy distrib/{ => docs/html}/src/dap/uvm_set_get_dap_base.svh (100%) copy distrib/{ => docs/html}/src/dap/uvm_simple_lock_dap.svh (100%) copy distrib/{ => docs/html}/src/deprecated/readme.important (100%) copy distrib/{ => docs/html}/src/deprecated/uvm_resource_converter.svh (100%) copy distrib/{ => docs/html}/src/dpi/uvm_common.c (100%) copy distrib/{ => docs/html}/src/dpi/uvm_dpi.cc (100%) copy distrib/{ => docs/html}/src/dpi/uvm_dpi.h (100%) copy distrib/{ => docs/html}/src/dpi/uvm_dpi.svh (100%) copy distrib/{ => docs/html}/src/dpi/uvm_hdl.c (100%) copy distrib/{ => docs/html}/src/dpi/uvm_hdl.svh (100%) create mode 100644 distrib/docs/html/src/dpi/uvm_hdl_inca.c copy distrib/{ => docs/html}/src/dpi/uvm_hdl_questa.c (100%) copy distrib/{ => docs/html}/src/dpi/uvm_hdl_vcs.c (100%) copy distrib/{ => docs/html}/src/dpi/uvm_regex.cc (100%) copy distrib/{ => docs/html}/src/dpi/uvm_regex.svh (100%) copy distrib/{ => docs/html}/src/dpi/uvm_svcmd_dpi.c (100%) copy distrib/{ => docs/html}/src/dpi/uvm_svcmd_dpi.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_callback_defines.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_deprecated_defines.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_message_defines.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_object_defines.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_phase_defines.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_printer_defines.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_reg_defines.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_sequence_defines.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_tlm_defines.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_undefineall.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_version_defines.svh (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/base.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/cmdlineproc.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/comparators.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/components.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/config_and_res.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/containers.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/dap.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/factory.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/intro.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/legal.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/phasing.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/policies.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/recording.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/registers.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/relnotes.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/reporting.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/sequencers.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/sequences.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/synchro.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/test-phasing.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/tlm1.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/tlm2.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/tlm_ifs_and_ports.txt (100%) copy distrib/{ => docs/html}/src/reg/sequences/uvm_mem_access_seq.svh (100%) copy distrib/{ => docs/html}/src/reg/sequences/uvm_mem_walk_seq.svh (100%) copy distrib/{ => docs/html}/src/reg/sequences/uvm_reg_access_seq.svh (100%) copy distrib/{ => docs/html}/src/reg/sequences/uvm_reg_bit_bash_seq.svh (100%) copy distrib/{ => docs/html}/src/reg/sequences/uvm_reg_hw... [truncated message content] |
From: Justin R. <ec...@us...> - 2014-05-05 18:25:23
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, Mantis_4915 has been created at 4cc34d2db459580b1db18345547035e5fd349f18 (commit) - Log ----------------------------------------------------------------- commit 4cc34d2db459580b1db18345547035e5fd349f18 Author: Justin Refice <jr...@nv...> Date: Mon May 5 11:24:37 2014 -0700 test fixes for no deprecated mode commit 19f357ddb882ed0d880d07a591d4d0e316785bf8 Author: Justin Refice <jr...@nv...> Date: Mon May 5 11:21:05 2014 -0700 Implementation + test for Mantis 4915 (starting_phase in deprecation) BRANCH WAS CREATED ----------------------------------------------------------------------- hooks/post-receive -- uvm |
From: Uwe S. <uw...@us...> - 2014-05-05 09:20:43
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2 has been updated via 5f151cc988a0dbe0d52993220374967d5f46c545 (commit) via 4b3281c64d9bf3742d6aade81ca90b4ea4407d78 (commit) from 6435341da34718bacd6e15c3977b7bef72711df6 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit 5f151cc988a0dbe0d52993220374967d5f46c545 Author: uwes <uw...@ca...> Date: Mon May 5 12:20:12 2014 +0300 added closed items to script commit 4b3281c64d9bf3742d6aade81ca90b4ea4407d78 Author: uwes <uw...@ca...> Date: Mon May 5 11:40:50 2014 +0300 added INCA define into DPI; updated release-notes.txt ----------------------------------------------------------------------- Summary of changes: admin/bin/merged_mantis.pl | 3 +++ distrib/release-notes.txt | 12 ++++++++++++ distrib/src/dpi/uvm_hdl_inca.c | 6 ++++++ 3 files changed, 21 insertions(+), 0 deletions(-) hooks/post-receive -- uvm |
From: Mark S. <ev...@us...> - 2014-04-23 20:18:53
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2_DEFAULT_FIX has been updated via b13da2182db46b15c427323719c242e6774c5df9 (commit) from ecc8bff75040bf9878a6cea18f2ab0bea00c69d9 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit b13da2182db46b15c427323719c242e6774c5df9 Author: Mark Strickland <mas...@ci...> Date: Wed Apr 23 16:17:27 2014 -0400 Added white space to method default assignments to 0 so NaturalDocs picks them up correctly ----------------------------------------------------------------------- Summary of changes: distrib/src/base/uvm_component.svh | 4 ++-- distrib/src/base/uvm_event.svh | 6 +++--- distrib/src/base/uvm_phase.svh | 4 ++-- distrib/src/base/uvm_printer.svh | 2 +- distrib/src/base/uvm_report_catcher.svh | 2 +- distrib/src/base/uvm_transaction.svh | 8 ++++---- distrib/src/dpi/uvm_hdl.svh | 2 +- 7 files changed, 14 insertions(+), 14 deletions(-) hooks/post-receive -- uvm |
From: Mark S. <ev...@us...> - 2014-04-23 18:41:39
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2_DEFAULT_FIX has been created at ecc8bff75040bf9878a6cea18f2ab0bea00c69d9 (commit) - Log ----------------------------------------------------------------- commit ecc8bff75040bf9878a6cea18f2ab0bea00c69d9 Author: Mark Strickland <mas...@ci...> Date: Wed Apr 23 14:39:55 2014 -0400 Changed file=0 to file = 0 to make ND work better BRANCH WAS CREATED ----------------------------------------------------------------------- hooks/post-receive -- uvm |