uvm-git Mailing List for UVM (Page 2)
Status: Alpha
Brought to you by:
ambarsarkar
You can subscribe to this list here.
2010 |
Jan
|
Feb
|
Mar
|
Apr
(46) |
May
(85) |
Jun
(8) |
Jul
(1) |
Aug
(31) |
Sep
(46) |
Oct
(125) |
Nov
(226) |
Dec
(61) |
---|---|---|---|---|---|---|---|---|---|---|---|---|
2011 |
Jan
(195) |
Feb
(66) |
Mar
(27) |
Apr
(110) |
May
(45) |
Jun
(22) |
Jul
(16) |
Aug
(25) |
Sep
(102) |
Oct
(85) |
Nov
(72) |
Dec
(6) |
2012 |
Jan
(5) |
Feb
(21) |
Mar
(33) |
Apr
(115) |
May
(53) |
Jun
(1) |
Jul
(30) |
Aug
(60) |
Sep
(30) |
Oct
(19) |
Nov
(37) |
Dec
(6) |
2013 |
Jan
(32) |
Feb
(21) |
Mar
(13) |
Apr
(23) |
May
(15) |
Jun
(22) |
Jul
(65) |
Aug
(31) |
Sep
(40) |
Oct
(42) |
Nov
(51) |
Dec
(38) |
2014 |
Jan
(15) |
Feb
(24) |
Mar
(10) |
Apr
(14) |
May
(47) |
Jun
(6) |
Jul
|
Aug
(2) |
Sep
(7) |
Oct
|
Nov
|
Dec
|
2015 |
Jan
|
Feb
(6) |
Mar
|
Apr
(2) |
May
|
Jun
(1) |
Jul
|
Aug
|
Sep
|
Oct
|
Nov
|
Dec
|
2016 |
Jan
|
Feb
|
Mar
|
Apr
|
May
|
Jun
|
Jul
|
Aug
|
Sep
|
Oct
(2) |
Nov
|
Dec
|
From: Adiel K. <adi...@us...> - 2014-06-11 19:32:15
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2 has been updated via a6576bbe2ef859f09d20c2abd1d3bfb0818b7d23 (commit) via 3e89aab3caab914941ab01188cd5dd46eb627781 (commit) via ea03bc93883d3a6fe72b6330ba0749a872a5b421 (commit) from 8a6c76001fbf255c1788fa483c088fbf405b61d8 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit a6576bbe2ef859f09d20c2abd1d3bfb0818b7d23 Merge: 8a6c760 3e89aab Author: Adiel Khan <ad...@sy...> Date: Wed Jun 11 12:30:48 2014 -0700 resolving merge conlict in release_notes.txt ----------------------------------------------------------------------- Summary of changes: distrib/release-notes.txt | 2 + distrib/src/base/uvm_globals.svh | 9 +---- distrib/src/base/uvm_object_globals.svh | 25 ------------ distrib/src/base/uvm_objection.svh | 2 +- distrib/src/macros/uvm_global_defines.svh | 59 +++++++++++++++++++++++++++++ distrib/src/uvm_macros.svh | 1 + uvm_ref/nd/Proj/UVM_Menu.txt | 1 + 7 files changed, 65 insertions(+), 34 deletions(-) create mode 100644 distrib/src/macros/uvm_global_defines.svh hooks/post-receive -- uvm |
From: Justin R. <ec...@us...> - 2014-05-30 19:23:33
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, Mantis_4929 has been created at 20165b778f13b4f28d34eb91898aac9673fc2034 (commit) - Log ----------------------------------------------------------------- commit 20165b778f13b4f28d34eb91898aac9673fc2034 Author: Justin Refice <jr...@nv...> Date: Fri May 30 12:22:36 2014 -0700 Typos and bad links fixed BRANCH WAS CREATED ----------------------------------------------------------------------- hooks/post-receive -- uvm |
From: Adiel K. <adi...@us...> - 2014-05-30 13:47:10
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, Mantis_4925 has been created at ea03bc93883d3a6fe72b6330ba0749a872a5b421 (commit) - Log ----------------------------------------------------------------- commit ea03bc93883d3a6fe72b6330ba0749a872a5b421 Author: Adiel Khan <ad...@sy...> Date: Thu May 29 16:38:37 2014 -0700 created & updated ND textfile with uvm_global_defines.svh for macros used in mulitple locations, removed duplicate macros definitions like UVM_LINE_WIDTH, UVM_NUM_LINES, UVM_DEFAULT_TIMEOUT BRANCH WAS CREATED ----------------------------------------------------------------------- hooks/post-receive -- uvm |
From: Adiel K. <adi...@us...> - 2014-05-29 16:03:54
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, Mantis_4924 has been updated via 9d63936af207c18ece60a7d86c617b9e937f1f39 (commit) from b4de2fad969510c6289c11b1a72c213b5d6ae8c7 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit 9d63936af207c18ece60a7d86c617b9e937f1f39 Author: Adiel Khan <ad...@sy...> Date: Thu May 29 06:58:06 2014 -0700 moved m_regfile_parent setting to after uvm_reg.add_reg() so that add-reg can use get_full_name() ----------------------------------------------------------------------- Summary of changes: distrib/src/reg/uvm_reg.svh | 2 +- 1 files changed, 1 insertions(+), 1 deletions(-) hooks/post-receive -- uvm |
From: Adiel K. <adi...@us...> - 2014-05-29 10:10:36
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, Mantis_4924 has been created at b4de2fad969510c6289c11b1a72c213b5d6ae8c7 (commit) - Log ----------------------------------------------------------------- commit b4de2fad969510c6289c11b1a72c213b5d6ae8c7 Author: Adiel Khan <ad...@sy...> Date: Thu May 29 03:04:02 2014 -0700 fixed foreach to use get_full_name to avoid conflict of same sname across reg_files commit bafc9f151c0a3953a1b61a6a232373df1984a5b0 Author: Adiel Khan <ad...@sy...> Date: Wed May 28 07:31:47 2014 -0700 temp commit to store code modifications BRANCH WAS CREATED ----------------------------------------------------------------------- hooks/post-receive -- uvm |
From: Adiel K. <adi...@us...> - 2014-05-27 15:21:54
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, Mantis_4923 has been created at c74434cb4715236a2cac228be0f6334f0c3e3813 (commit) - Log ----------------------------------------------------------------- commit c74434cb4715236a2cac228be0f6334f0c3e3813 Author: Adiel Khan <ad...@sy...> Date: Tue May 27 08:20:01 2014 -0700 Added check for out of bound queue access in uvm_misc.svh commit 969c97bf858ecd10f605f815453e741e94e88b15 Author: Adiel Khan <ad...@sy...> Date: Tue May 27 08:00:24 2014 -0700 testcase for out of bounds queue access BRANCH WAS CREATED ----------------------------------------------------------------------- hooks/post-receive -- uvm |
From: Uwe S. <uw...@us...> - 2014-05-26 09:33:53
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2_version_numbers has been created at a7cfded6b3698863f6f0bc8261052ec199b34ccc (commit) - Log ----------------------------------------------------------------- commit a7cfded6b3698863f6f0bc8261052ec199b34ccc Author: uwes <uw...@ca...> Date: Mon May 26 11:25:38 2014 +0300 updated tarball-generation, fixed version numbering BRANCH WAS CREATED ----------------------------------------------------------------------- hooks/post-receive -- uvm |
From: Justin R. <ec...@us...> - 2014-05-24 19:14:44
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2_RELEASE_RC7_WITHHTMLDOC has been created at 49d2072ed8dab8938b845c0944b71076edbd55fe (commit) - Log ----------------------------------------------------------------- commit 49d2072ed8dab8938b845c0944b71076edbd55fe Author: Justin Refice <jr...@nv...> Date: Sat May 24 12:14:19 2014 -0700 commited docs for UVM_1_2_RELEASE BRANCH WAS CREATED ----------------------------------------------------------------------- hooks/post-receive -- uvm |
From: Justin R. <ec...@us...> - 2014-05-23 15:02:16
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2 has been updated via 386339d1e11d0fbe652f26398206063b72645c52 (commit) via a7e45e406780958441c58ecf291aac421b43e211 (commit) from 2ab79908669ef7beb3b946a88eecf0a8aeac6eef (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit 386339d1e11d0fbe652f26398206063b72645c52 Merge: 2ab7990 a7e45e4 Author: Justin Refice <jr...@nv...> Date: Fri May 23 08:01:42 2014 -0700 Merge remote-tracking branch 'origin/UVM_1_2_TYPO_FIXES_MENT' into UVM_1_2 Conflicts: distrib/src/base/uvm_globals.svh distrib/src/base/uvm_port_base.svh distrib/src/base/uvm_report_server.svh distrib/src/dap/uvm_get_to_lock_dap.svh commit a7e45e406780958441c58ecf291aac421b43e211 Author: Tom Fitzpatrick <tfitzpat@localhost.localdomain> Date: Wed May 14 10:35:10 2014 -0700 Typo changes Conflicts: distrib/docs/html/src/base/uvm_object.svh distrib/docs/html/src/overviews/containers.txt distrib/docs/html/src/overviews/intro.txt ----------------------------------------------------------------------- Summary of changes: .../examples/simple/tlm1/hierarchy/hierarchy.sv | 6 +++--- distrib/src/base/uvm_common_phases.svh | 4 ++-- distrib/src/base/uvm_factory.svh | 4 ++-- distrib/src/base/uvm_phase.svh | 4 ++-- distrib/src/base/uvm_registry.svh | 4 ++-- distrib/src/base/uvm_report_catcher.svh | 4 ++-- distrib/src/base/uvm_report_handler.svh | 2 +- distrib/src/base/uvm_report_server.svh | 4 ++-- distrib/src/base/uvm_tr_database.svh | 2 +- .../15factory/90Mantis/4032_override_undo/test.sv | 2 +- tests/16uvmscripts/1_uvm11touvm12/uvm11/test04.sv | 2 +- .../1_uvm11touvm12/uvm12.golden/test04.sv | 2 +- tests/20sequences/10sequence_lib/01static/test.sv | 2 +- tests/20sequences/10sequence_lib/02dynamic/test.sv | 2 +- .../3129_seq_kill/stop_started_seq_sv_side.sv | 2 +- .../4194_children_sequence_die/test.sv | 2 +- .../03basic/06xbus/xbus/xbus_master_seq_lib.sv | 4 ++-- .../40phasing/50phase_controls/common/bot_uvc.svh | 2 +- .../40phasing/70user_sched/04new_with_orig/test.sv | 2 +- uvm_ref/config_and_res.txt | 4 ++-- uvm_ref/containers.txt | 4 ++-- uvm_ref/phasing.txt | 6 +++--- uvm_ref/relnotes.txt | 4 ++-- 23 files changed, 37 insertions(+), 37 deletions(-) hooks/post-receive -- uvm |
From: Justin R. <ec...@us...> - 2014-05-23 14:57:13
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2 has been updated via 2ab79908669ef7beb3b946a88eecf0a8aeac6eef (commit) via abba6ba1fc8bb356623970e76b128b43d51058a8 (commit) via 84370a9ed20e1241b7be859b2101afc6b970b2cb (commit) from 3ebd84844dbbab2a6d9052059bc90327a5989775 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit 2ab79908669ef7beb3b946a88eecf0a8aeac6eef Merge: 3ebd848 abba6ba Author: Justin Refice <jr...@nv...> Date: Fri May 23 07:56:34 2014 -0700 Merge remote-tracking branch 'origin/UVM_1_2_NV_TYPOS' into UVM_1_2 Conflicts: distrib/src/base/uvm_report_object.svh distrib/src/seq/uvm_sequence_item.svh distrib/src/tlm2/uvm_tlm2_imps.svh commit abba6ba1fc8bb356623970e76b128b43d51058a8 Author: Justin Refice <jr...@nv...> Date: Mon May 5 12:45:11 2014 -0700 few more "an uvm" fixes commit 84370a9ed20e1241b7be859b2101afc6b970b2cb Author: Justin Refice <jr...@nv...> Date: Mon May 5 12:38:50 2014 -0700 Fixes from the spreadsheet lines 601-800 Other fixes from "an UVM..." to "a UVM..." ----------------------------------------------------------------------- Summary of changes: hooks/post-receive -- uvm |
From: Justin R. <ec...@us...> - 2014-05-23 14:34:31
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2 has been updated via 3ebd84844dbbab2a6d9052059bc90327a5989775 (commit) via 20619337bb9c28e711840b1a8345a1aab3a9c278 (commit) from bda6914d59b1f8f0c29b02002fc1c01385ec3ee3 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit 3ebd84844dbbab2a6d9052059bc90327a5989775 Merge: bda6914 2061933 Author: Justin Refice <jr...@nv...> Date: Fri May 23 07:33:48 2014 -0700 Merge commit '2061933' into UVM_1_2 Conflicts: distrib/src/base/uvm_callback.svh distrib/src/base/uvm_object.svh distrib/src/base/uvm_object_globals.svh distrib/src/base/uvm_packer.svh distrib/src/base/uvm_phase.svh distrib/src/base/uvm_report_handler.svh distrib/src/base/uvm_report_server.svh distrib/src/base/uvm_resource.svh distrib/src/base/uvm_root.svh distrib/src/comps/uvm_algorithmic_comparator.svh distrib/src/dap/uvm_get_to_lock_dap.svh distrib/src/dap/uvm_simple_lock_dap.svh distrib/src/macros/uvm_object_defines.svh distrib/src/reg/uvm_reg.svh distrib/src/seq/uvm_sequencer_base.svh distrib/src/tlm1/uvm_sqr_ifs.svh commit 20619337bb9c28e711840b1a8345a1aab3a9c278 Author: Justin Refice <jr...@nv...> Date: Fri May 23 07:27:11 2014 -0700 IBM Changes (Typographical) ----------------------------------------------------------------------- Summary of changes: distrib/src/base/uvm_base.svh | 4 +- distrib/src/base/uvm_callback.svh | 14 ++++---- distrib/src/base/uvm_component.svh | 10 +++--- distrib/src/base/uvm_config_db.svh | 4 +- distrib/src/base/uvm_factory.svh | 18 ++++++------ distrib/src/base/uvm_globals.svh | 6 ++-- distrib/src/base/uvm_misc.svh | 2 +- distrib/src/base/uvm_object.svh | 34 +++++++++++----------- distrib/src/base/uvm_object_globals.svh | 17 ++++++---- distrib/src/base/uvm_objection.svh | 8 ++-- distrib/src/base/uvm_packer.svh | 4 +- distrib/src/base/uvm_phase.svh | 8 ++-- distrib/src/base/uvm_port_base.svh | 12 ++++---- distrib/src/base/uvm_printer.svh | 3 +- distrib/src/base/uvm_recorder.svh | 2 +- distrib/src/base/uvm_report_catcher.svh | 4 +- distrib/src/base/uvm_report_handler.svh | 8 ++-- distrib/src/base/uvm_report_message.svh | 28 +++++++++--------- distrib/src/base/uvm_report_object.svh | 14 ++++---- distrib/src/base/uvm_report_server.svh | 10 +++--- distrib/src/base/uvm_resource.svh | 12 ++++---- distrib/src/base/uvm_root.svh | 2 +- distrib/src/base/uvm_spell_chkr.svh | 2 +- distrib/src/base/uvm_tr_database.svh | 6 ++-- distrib/src/base/uvm_tr_stream.svh | 6 ++-- distrib/src/base/uvm_transaction.svh | 10 +++--- distrib/src/comps/uvm_algorithmic_comparator.svh | 2 +- distrib/src/dap/uvm_get_to_lock_dap.svh | 4 +- distrib/src/dap/uvm_set_before_get_dap.svh | 6 ++-- distrib/src/dap/uvm_set_get_dap_base.svh | 8 ++-- distrib/src/dap/uvm_simple_lock_dap.svh | 4 +- distrib/src/dpi/uvm_regex.cc | 4 +- distrib/src/macros/uvm_object_defines.svh | 10 +++--- distrib/src/reg/uvm_mem.svh | 2 +- distrib/src/reg/uvm_reg.svh | 6 ++-- distrib/src/seq/uvm_sequence_base.svh | 8 ++-- distrib/src/seq/uvm_sequencer_base.svh | 2 +- distrib/src/tlm1/uvm_sqr_ifs.svh | 2 +- distrib/src/tlm2/uvm_tlm2_defines.svh | 2 +- 39 files changed, 156 insertions(+), 152 deletions(-) hooks/post-receive -- uvm |
From: Justin R. <ec...@us...> - 2014-05-21 17:08:06
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2 has been updated via bda6914d59b1f8f0c29b02002fc1c01385ec3ee3 (commit) from de3f150d2c8019ca218b7864ab944cfe7a982142 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit bda6914d59b1f8f0c29b02002fc1c01385ec3ee3 Author: Justin Refice <jr...@nv...> Date: Wed May 21 10:07:26 2014 -0700 Fixing tlm1 overview to use *_phase methods ----------------------------------------------------------------------- Summary of changes: uvm_ref/tlm1.txt | 20 +++++++++++--------- 1 files changed, 11 insertions(+), 9 deletions(-) hooks/post-receive -- uvm |
From: Justin R. <ec...@us...> - 2014-05-21 16:42:09
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2 has been updated via de3f150d2c8019ca218b7864ab944cfe7a982142 (commit) via e540eb6416f36c23e32e87dc8f6dd16914d736da (commit) via c7b991d816d85c327941426e1bb35c1fe575c317 (commit) via a9102f7af3b78492be1665eb9fc2fbcae0af36c0 (commit) via 92f9862e6becce7b69400ba28c387ee20af37022 (commit) via 591c1a0dac287b5d2409401ff2d90717664f61d0 (commit) via 17bb7ef634648143727db2b58d0b6cbd45330484 (commit) via 47fbceec987ab8d97d961211f6f0cfc37e3862ee (commit) via faddfc1e02bbcb7c1955410f9757dff5e8fac82b (commit) via d1ff29a45c66d78a0b0734102b56de559872fe78 (commit) via e921b5720e77422288b8d7beb27754103899a90f (commit) via 0e3a5cb8ffb56b8f78498b816b80975c78668164 (commit) via 0953a7952ca7dcad9ac39e38122b789ddee702c3 (commit) via ac521603ccad9b7a6030c9f20011d8691e5f7dd5 (commit) via 42dc088f825b31b6d62515d5bf30d9294d75d693 (commit) via d520a42ecf837683fabd2209d2d7557a36a23c62 (commit) via dc3c3a97db8dd6d97434331865e04f569e33b3c0 (commit) via 477ddf2ca499ca61d0a50e3550e0807bf3a55567 (commit) via f6040590716defad69b540a80370a41832d3dd5c (commit) via 7aedd50c3f1d1aa679999b43dd24306cf60a7a7c (commit) via 5fbfe630eabab647379a12cc0f5dbd8e3cbe7519 (commit) via de7690766f7faaa95c3a510e27802d52c5e74cac (commit) via c59c3b564a8aa5bc15153c889cc9aa8e99061ade (commit) via b13da2182db46b15c427323719c242e6774c5df9 (commit) via ecc8bff75040bf9878a6cea18f2ab0bea00c69d9 (commit) from c578b03f6de9a66f5542bdf1091577760335cdbd (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit de3f150d2c8019ca218b7864ab944cfe7a982142 Merge: e540eb6 0e3a5cb Author: Justin Refice <jr...@nv...> Date: Wed May 21 09:37:07 2014 -0700 Merge branch 'UVM_1_2_DEFAULT_FIX' of ssh://git.code.sf.net/p/uvm/code into UVM_1_2_DEFAULT_FIX Conflicts: distrib/src/reg/uvm_reg_model.svh commit e540eb6416f36c23e32e87dc8f6dd16914d736da Author: Adiel Khan <ad...@sy...> Date: Fri May 16 08:36:17 2014 -0700 fixed an introduced typo: decendants commit c7b991d816d85c327941426e1bb35c1fe575c317 Author: Adiel Khan <ad...@sy...> Date: Fri May 16 08:16:17 2014 -0700 fixed an introduced typo commit a9102f7af3b78492be1665eb9fc2fbcae0af36c0 Author: Adiel Khan <ad...@sy...> Date: Fri May 16 08:06:55 2014 -0700 BOD typos from xls sheet 202-400 commit 92f9862e6becce7b69400ba28c387ee20af37022 Author: Mark Strickland <mas...@ci...> Date: Wed May 14 14:42:25 2014 -0400 Fixing spelling errors noted in email from Martin Barnasconi commit 591c1a0dac287b5d2409401ff2d90717664f61d0 Author: Mark Strickland <mas...@ci...> Date: Tue May 6 15:49:28 2014 -0400 Added the Title: information so this text would show in Menu commit 17bb7ef634648143727db2b58d0b6cbd45330484 Author: Mark Strickland <mas...@ci...> Date: Tue May 6 13:43:19 2014 -0400 Adding overview section to Macros and Globals commit 47fbceec987ab8d97d961211f6f0cfc37e3862ee Author: Jamsheed Agahi <ja...@se...> Date: Mon May 5 15:09:43 2014 -0700 style changes: change null to italic; methods names in <> to appear as blue links commit faddfc1e02bbcb7c1955410f9757dff5e8fac82b Author: Jamsheed Agahi <ja...@se...> Date: Mon May 5 12:56:25 2014 -0700 spelling corrections commit d1ff29a45c66d78a0b0734102b56de559872fe78 Author: Mark Strickland <mas...@ci...> Date: Wed Apr 23 16:17:27 2014 -0400 Added white space to method default assignments to 0 so NaturalDocs picks them up correctly commit e921b5720e77422288b8d7beb27754103899a90f Author: Mark Strickland <mas...@ci...> Date: Wed Apr 23 14:39:55 2014 -0400 Changed file=0 to file = 0 to make ND work better commit 0e3a5cb8ffb56b8f78498b816b80975c78668164 Author: Adiel Khan <ad...@sy...> Date: Fri May 16 08:36:17 2014 -0700 fixed an introduced typo: decendants commit 0953a7952ca7dcad9ac39e38122b789ddee702c3 Author: Adiel Khan <ad...@sy...> Date: Fri May 16 08:16:17 2014 -0700 fixed an introduced typo commit ac521603ccad9b7a6030c9f20011d8691e5f7dd5 Author: Adiel Khan <ad...@sy...> Date: Fri May 16 08:06:55 2014 -0700 BOD typos from xls sheet 202-400 commit 42dc088f825b31b6d62515d5bf30d9294d75d693 Author: Mark Strickland <mas...@ci...> Date: Wed May 14 14:42:25 2014 -0400 Fixing spelling errors noted in email from Martin Barnasconi commit d520a42ecf837683fabd2209d2d7557a36a23c62 Author: Mark Strickland <mas...@ci...> Date: Tue May 6 15:49:28 2014 -0400 Added the Title: information so this text would show in Menu commit dc3c3a97db8dd6d97434331865e04f569e33b3c0 Merge: 477ddf2 5fbfe63 Author: Mark Strickland <mas...@ci...> Date: Tue May 6 14:01:28 2014 -0400 Merge branch 'UVM_1_2_DEFAULT_FIX' of ssh://git.code.sf.net/p/uvm/code into UVM_1_2_DEFAULT_FIX Conflicts: distrib/src/tlm2/uvm_tlm2_generic_payload.svh commit 477ddf2ca499ca61d0a50e3550e0807bf3a55567 Author: Mark Strickland <mas...@ci...> Date: Tue May 6 13:43:19 2014 -0400 Adding overview section to Macros and Globals commit f6040590716defad69b540a80370a41832d3dd5c Author: Mark Strickland <mas...@ci...> Date: Wed Apr 23 16:17:27 2014 -0400 Added white space to method default assignments to 0 so NaturalDocs picks them up correctly commit 7aedd50c3f1d1aa679999b43dd24306cf60a7a7c Author: Mark Strickland <mas...@ci...> Date: Wed Apr 23 14:39:55 2014 -0400 Changed file=0 to file = 0 to make ND work better commit 5fbfe630eabab647379a12cc0f5dbd8e3cbe7519 Author: Jamsheed Agahi <ja...@se...> Date: Mon May 5 15:09:43 2014 -0700 style changes: change null to italic; methods names in <> to appear as blue links commit de7690766f7faaa95c3a510e27802d52c5e74cac Author: Jamsheed Agahi <ja...@se...> Date: Mon May 5 12:56:25 2014 -0700 spelling corrections commit c59c3b564a8aa5bc15153c889cc9aa8e99061ade Author: Jamsheed Agahi <ja...@se...> Date: Mon May 5 11:24:15 2014 -0700 spelling correction commit b13da2182db46b15c427323719c242e6774c5df9 Author: Mark Strickland <mas...@ci...> Date: Wed Apr 23 16:17:27 2014 -0400 Added white space to method default assignments to 0 so NaturalDocs picks them up correctly commit ecc8bff75040bf9878a6cea18f2ab0bea00c69d9 Author: Mark Strickland <mas...@ci...> Date: Wed Apr 23 14:39:55 2014 -0400 Changed file=0 to file = 0 to make ND work better ----------------------------------------------------------------------- Summary of changes: distrib/examples/simple/tlm1/fifo/test.sv | 4 +- .../examples/simple/tlm1/hierarchy/hierarchy.sv | 2 +- distrib/src/base/uvm_callback.svh | 36 +++++++++--------- distrib/src/base/uvm_component.svh | 18 ++++---- distrib/src/base/uvm_config_db.svh | 6 +- distrib/src/base/uvm_coreservice.svh | 4 +- distrib/src/base/uvm_event.svh | 6 +- distrib/src/base/uvm_factory.svh | 2 +- distrib/src/base/uvm_heartbeat.svh | 6 +- distrib/src/base/uvm_misc.svh | 2 +- distrib/src/base/uvm_object.svh | 10 ++-- distrib/src/base/uvm_object_globals.svh | 2 +- distrib/src/base/uvm_objection.svh | 14 +++--- distrib/src/base/uvm_packer.svh | 12 +++--- distrib/src/base/uvm_phase.svh | 22 +++++----- distrib/src/base/uvm_pool.svh | 2 +- distrib/src/base/uvm_port_base.svh | 4 +- distrib/src/base/uvm_printer.svh | 6 +- distrib/src/base/uvm_report_catcher.svh | 4 +- distrib/src/base/uvm_report_handler.svh | 2 +- distrib/src/base/uvm_report_object.svh | 4 +- distrib/src/base/uvm_report_server.svh | 12 +++--- distrib/src/base/uvm_resource.svh | 40 ++++++++++---------- distrib/src/base/uvm_resource_db.svh | 8 ++-- distrib/src/base/uvm_root.svh | 6 +- distrib/src/base/uvm_transaction.svh | 8 ++-- distrib/src/comps/uvm_algorithmic_comparator.svh | 2 +- distrib/src/dpi/uvm_hdl.svh | 2 +- distrib/src/reg/uvm_mem.svh | 24 ++++++------ distrib/src/reg/uvm_mem_mam.svh | 2 +- distrib/src/reg/uvm_reg.svh | 24 ++++++------ distrib/src/reg/uvm_reg_adapter.svh | 2 +- distrib/src/reg/uvm_reg_block.svh | 28 +++++++------- distrib/src/reg/uvm_reg_cbs.svh | 2 +- distrib/src/reg/uvm_reg_field.svh | 18 ++++---- distrib/src/reg/uvm_reg_fifo.svh | 2 +- distrib/src/reg/uvm_reg_file.svh | 10 ++-- distrib/src/reg/uvm_reg_map.svh | 10 ++-- distrib/src/reg/uvm_reg_model.svh | 2 +- distrib/src/reg/uvm_reg_predictor.svh | 2 +- distrib/src/reg/uvm_vreg.svh | 2 +- distrib/src/seq/uvm_sequence.svh | 2 +- distrib/src/seq/uvm_sequence_base.svh | 10 ++-- distrib/src/seq/uvm_sequence_item.svh | 6 +- distrib/src/seq/uvm_sequencer.svh | 4 +- distrib/src/seq/uvm_sequencer_base.svh | 6 +- distrib/src/seq/uvm_sequencer_param_base.svh | 8 ++-- distrib/src/tlm1/uvm_ports.svh | 4 +- distrib/src/tlm1/uvm_sqr_ifs.svh | 26 ++++++------ distrib/src/tlm1/uvm_tlm_fifo_base.svh | 2 +- distrib/src/tlm1/uvm_tlm_fifos.svh | 2 +- distrib/src/tlm1/uvm_tlm_ifs.svh | 2 +- distrib/src/tlm1/uvm_tlm_req_rsp.svh | 6 +- distrib/src/tlm2/uvm_tlm2_generic_payload.svh | 2 +- distrib/src/tlm2/uvm_tlm2_ports.svh | 2 +- uvm_ref/globals.txt | 3 + uvm_ref/macros.txt | 5 ++ uvm_ref/nd/Proj/UVM_Menu.txt | 2 + uvm_ref/tlm_ifs_and_ports.txt | 4 +- 59 files changed, 240 insertions(+), 230 deletions(-) create mode 100644 uvm_ref/globals.txt create mode 100644 uvm_ref/macros.txt hooks/post-receive -- uvm |
From: Justin R. <ec...@us...> - 2014-05-21 16:39:11
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2_DEFAULT_FIX has been updated via de3f150d2c8019ca218b7864ab944cfe7a982142 (commit) via e540eb6416f36c23e32e87dc8f6dd16914d736da (commit) via c7b991d816d85c327941426e1bb35c1fe575c317 (commit) via a9102f7af3b78492be1665eb9fc2fbcae0af36c0 (commit) via 92f9862e6becce7b69400ba28c387ee20af37022 (commit) via 591c1a0dac287b5d2409401ff2d90717664f61d0 (commit) via 17bb7ef634648143727db2b58d0b6cbd45330484 (commit) via 47fbceec987ab8d97d961211f6f0cfc37e3862ee (commit) via faddfc1e02bbcb7c1955410f9757dff5e8fac82b (commit) via d1ff29a45c66d78a0b0734102b56de559872fe78 (commit) via e921b5720e77422288b8d7beb27754103899a90f (commit) via c578b03f6de9a66f5542bdf1091577760335cdbd (commit) via d00a1aa9c099247d0af85c33dd5a149c3e67080f (commit) via fe37b7150848703c00b0ca9ee1bda75e0a403dec (commit) via 53884f36e705b963115d2e22a2d2b5c8da91f689 (commit) via 48f92b723fd1603a2199b4993e0f59550039e925 (commit) via 5076177df93a1129d05b9f7ab78ad37c4c468761 (commit) via c4dbde524a5b65b88709c2235833171d61163fb0 (commit) via ff29d3d02a38fa71861061ee32bd019ceff5b715 (commit) via 82f8c03bc5e208f3b4fbbcdc6e475237ea888efd (commit) via c4cbcf8b011d0749cde01044f0df62f4fbc4a3b6 (commit) from 0e3a5cb8ffb56b8f78498b816b80975c78668164 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit de3f150d2c8019ca218b7864ab944cfe7a982142 Merge: e540eb6 0e3a5cb Author: Justin Refice <jr...@nv...> Date: Wed May 21 09:37:07 2014 -0700 Merge branch 'UVM_1_2_DEFAULT_FIX' of ssh://git.code.sf.net/p/uvm/code into UVM_1_2_DEFAULT_FIX Conflicts: distrib/src/reg/uvm_reg_model.svh commit e540eb6416f36c23e32e87dc8f6dd16914d736da Author: Adiel Khan <ad...@sy...> Date: Fri May 16 08:36:17 2014 -0700 fixed an introduced typo: decendants commit c7b991d816d85c327941426e1bb35c1fe575c317 Author: Adiel Khan <ad...@sy...> Date: Fri May 16 08:16:17 2014 -0700 fixed an introduced typo commit a9102f7af3b78492be1665eb9fc2fbcae0af36c0 Author: Adiel Khan <ad...@sy...> Date: Fri May 16 08:06:55 2014 -0700 BOD typos from xls sheet 202-400 commit 92f9862e6becce7b69400ba28c387ee20af37022 Author: Mark Strickland <mas...@ci...> Date: Wed May 14 14:42:25 2014 -0400 Fixing spelling errors noted in email from Martin Barnasconi commit 591c1a0dac287b5d2409401ff2d90717664f61d0 Author: Mark Strickland <mas...@ci...> Date: Tue May 6 15:49:28 2014 -0400 Added the Title: information so this text would show in Menu commit 17bb7ef634648143727db2b58d0b6cbd45330484 Author: Mark Strickland <mas...@ci...> Date: Tue May 6 13:43:19 2014 -0400 Adding overview section to Macros and Globals commit 47fbceec987ab8d97d961211f6f0cfc37e3862ee Author: Jamsheed Agahi <ja...@se...> Date: Mon May 5 15:09:43 2014 -0700 style changes: change null to italic; methods names in <> to appear as blue links commit faddfc1e02bbcb7c1955410f9757dff5e8fac82b Author: Jamsheed Agahi <ja...@se...> Date: Mon May 5 12:56:25 2014 -0700 spelling corrections commit d1ff29a45c66d78a0b0734102b56de559872fe78 Author: Mark Strickland <mas...@ci...> Date: Wed Apr 23 16:17:27 2014 -0400 Added white space to method default assignments to 0 so NaturalDocs picks them up correctly commit e921b5720e77422288b8d7beb27754103899a90f Author: Mark Strickland <mas...@ci...> Date: Wed Apr 23 14:39:55 2014 -0400 Changed file=0 to file = 0 to make ND work better ----------------------------------------------------------------------- Summary of changes: distrib/release-notes.txt | 3 + distrib/src/base/uvm_cmdline_processor.svh | 16 +- distrib/src/base/uvm_common_phases.svh | 28 ++++ distrib/src/base/uvm_comparer.svh | 4 +- distrib/src/base/uvm_component.svh | 22 ++-- distrib/src/base/uvm_coreservice.svh | 2 +- distrib/src/base/uvm_globals.svh | 8 +- distrib/src/base/uvm_links.svh | 6 +- distrib/src/base/uvm_object.svh | 2 +- distrib/src/base/uvm_object_globals.svh | 12 +- distrib/src/base/uvm_objection.svh | 28 +--- distrib/src/base/uvm_packer.svh | 8 +- distrib/src/base/uvm_phase.svh | 4 +- distrib/src/base/uvm_port_base.svh | 12 +- distrib/src/base/uvm_printer.svh | 2 +- distrib/src/base/uvm_registry.svh | 12 +- distrib/src/base/uvm_report_object.svh | 8 +- distrib/src/base/uvm_report_server.svh | 4 +- distrib/src/base/uvm_resource.svh | 12 ++- distrib/src/base/uvm_root.svh | 4 +- distrib/src/base/uvm_runtime_phases.svh | 36 +++++ distrib/src/base/uvm_tr_database.svh | 2 +- distrib/src/base/uvm_transaction.svh | 2 +- distrib/src/base/uvm_traversal.svh | 2 +- distrib/src/comps/uvm_algorithmic_comparator.svh | 2 +- distrib/src/dap/uvm_get_to_lock_dap.svh | 6 +- distrib/src/dap/uvm_set_before_get_dap.svh | 2 +- distrib/src/dap/uvm_simple_lock_dap.svh | 6 +- distrib/src/dpi/uvm_hdl.svh | 2 +- distrib/src/macros/uvm_message_defines.svh | 10 +- distrib/src/macros/uvm_object_defines.svh | 14 +- distrib/src/macros/uvm_sequence_defines.svh | 6 +- distrib/src/macros/uvm_tlm_defines.svh | 38 +++--- distrib/src/reg/sequences/uvm_mem_walk_seq.svh | 2 +- distrib/src/reg/sequences/uvm_reg_bit_bash_seq.svh | 4 +- distrib/src/reg/sequences/uvm_reg_hw_reset_seq.svh | 2 +- distrib/src/reg/uvm_reg_cbs.svh | 4 +- distrib/src/reg/uvm_reg_item.svh | 2 +- distrib/src/reg/uvm_reg_map.svh | 2 +- distrib/src/reg/uvm_reg_model.svh | 4 +- distrib/src/reg/uvm_reg_predictor.svh | 2 +- distrib/src/reg/uvm_reg_sequence.svh | 4 +- distrib/src/reg/uvm_vreg_field.svh | 2 +- distrib/src/seq/uvm_push_sequencer.svh | 2 +- distrib/src/seq/uvm_sequence_base.svh | 18 ++-- distrib/src/seq/uvm_sequence_library.svh | 4 +- distrib/src/seq/uvm_sequencer_base.svh | 10 +- distrib/src/seq/uvm_sequencer_param_base.svh | 2 +- distrib/src/tlm1/uvm_tlm_fifos.svh | 2 +- distrib/src/tlm2/uvm_tlm2_time.svh | 4 +- tests/35objections/92nonprop/01basic/test.sv | 149 ++++++++++++++++++++ uvm_ref/cmdlineproc.txt | 2 +- uvm_ref/sequences.txt | 4 +- uvm_ref/tlm1.txt | 4 +- 54 files changed, 382 insertions(+), 172 deletions(-) create mode 100644 tests/35objections/92nonprop/01basic/test.sv hooks/post-receive -- uvm |
From: <ap...@us...> - 2014-05-20 23:12:24
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2 has been updated via c578b03f6de9a66f5542bdf1091577760335cdbd (commit) from d00a1aa9c099247d0af85c33dd5a149c3e67080f (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit c578b03f6de9a66f5542bdf1091577760335cdbd Author: Mohamed Elmalaki <moh...@in...> Date: Tue May 20 14:50:40 2014 -0700 Fix typos - intel share ----------------------------------------------------------------------- Summary of changes: distrib/src/base/uvm_comparer.svh | 2 +- distrib/src/base/uvm_globals.svh | 4 +- distrib/src/base/uvm_links.svh | 6 ++-- distrib/src/base/uvm_objection.svh | 6 ++-- distrib/src/base/uvm_packer.svh | 6 ++-- distrib/src/base/uvm_port_base.svh | 4 +- distrib/src/base/uvm_printer.svh | 2 +- distrib/src/base/uvm_registry.svh | 4 +- distrib/src/base/uvm_tr_database.svh | 2 +- distrib/src/dap/uvm_get_to_lock_dap.svh | 6 ++-- distrib/src/dap/uvm_set_before_get_dap.svh | 2 +- distrib/src/dap/uvm_simple_lock_dap.svh | 6 ++-- distrib/src/macros/uvm_object_defines.svh | 12 ++++---- distrib/src/macros/uvm_sequence_defines.svh | 8 +++--- distrib/src/macros/uvm_tlm_defines.svh | 38 +++++++++++++------------- distrib/src/reg/uvm_reg_map.svh | 2 +- distrib/src/reg/uvm_reg_model.svh | 4 +- distrib/src/seq/uvm_sequence_base.svh | 8 +++--- distrib/src/seq/uvm_sequencer_base.svh | 2 +- distrib/src/tlm2/uvm_tlm2_time.svh | 2 +- 20 files changed, 63 insertions(+), 63 deletions(-) hooks/post-receive -- uvm |
From: <ap...@us...> - 2014-05-20 23:08:24
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2_TYPO_FIXES_INTL has been created at c578b03f6de9a66f5542bdf1091577760335cdbd (commit) - Log ----------------------------------------------------------------- commit c578b03f6de9a66f5542bdf1091577760335cdbd Author: Mohamed Elmalaki <moh...@in...> Date: Tue May 20 14:50:40 2014 -0700 Fix typos - intel share BRANCH WAS CREATED ----------------------------------------------------------------------- hooks/post-receive -- uvm |
From: Justin R. <ec...@us...> - 2014-05-16 17:38:55
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2 has been updated via d00a1aa9c099247d0af85c33dd5a149c3e67080f (commit) via fe37b7150848703c00b0ca9ee1bda75e0a403dec (commit) via c4cbcf8b011d0749cde01044f0df62f4fbc4a3b6 (commit) from 53884f36e705b963115d2e22a2d2b5c8da91f689 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- ----------------------------------------------------------------------- Summary of changes: distrib/src/base/uvm_objection.svh | 20 +--- tests/35objections/92nonprop/01basic/test.sv | 149 ++++++++++++++++++++++++++ 2 files changed, 151 insertions(+), 18 deletions(-) create mode 100644 tests/35objections/92nonprop/01basic/test.sv hooks/post-receive -- uvm |
From: Justin R. <ec...@us...> - 2014-05-16 17:37:32
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, Mantis_4389 has been created at d00a1aa9c099247d0af85c33dd5a149c3e67080f (commit) - Log ----------------------------------------------------------------- commit d00a1aa9c099247d0af85c33dd5a149c3e67080f Author: Justin Refice <jr...@nv...> Date: Fri May 16 10:36:54 2014 -0700 Test for non-prop mode commit fe37b7150848703c00b0ca9ee1bda75e0a403dec Merge: c4cbcf8 53884f3 Author: Justin Refice <jr...@nv...> Date: Fri May 16 10:32:31 2014 -0700 Merge branch 'UVM_1_2' of ssh://git.code.sf.net/p/uvm/code into Mantis_4389 commit c4cbcf8b011d0749cde01044f0df62f4fbc4a3b6 Author: Justin Refice <jr...@nv...> Date: Wed May 14 07:47:40 2014 -0700 Adding warning for accessing branch (between root and leaf) with get_objection_total() when prop mode is off. BRANCH WAS CREATED ----------------------------------------------------------------------- hooks/post-receive -- uvm |
From: Adiel K. <adi...@us...> - 2014-05-16 15:36:42
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2_DEFAULT_FIX has been updated via 0e3a5cb8ffb56b8f78498b816b80975c78668164 (commit) from 0953a7952ca7dcad9ac39e38122b789ddee702c3 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit 0e3a5cb8ffb56b8f78498b816b80975c78668164 Author: Adiel Khan <ad...@sy...> Date: Fri May 16 08:36:17 2014 -0700 fixed an introduced typo: decendants ----------------------------------------------------------------------- Summary of changes: distrib/src/base/uvm_objection.svh | 4 ++-- 1 files changed, 2 insertions(+), 2 deletions(-) hooks/post-receive -- uvm |
From: Adiel K. <adi...@us...> - 2014-05-16 15:20:47
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2_DEFAULT_FIX has been updated via 0953a7952ca7dcad9ac39e38122b789ddee702c3 (commit) from ac521603ccad9b7a6030c9f20011d8691e5f7dd5 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit 0953a7952ca7dcad9ac39e38122b789ddee702c3 Author: Adiel Khan <ad...@sy...> Date: Fri May 16 08:16:17 2014 -0700 fixed an introduced typo ----------------------------------------------------------------------- Summary of changes: distrib/src/base/uvm_resource.svh | 2 +- 1 files changed, 1 insertions(+), 1 deletions(-) hooks/post-receive -- uvm |
From: Adiel K. <adi...@us...> - 2014-05-16 15:09:11
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2_DEFAULT_FIX has been updated via ac521603ccad9b7a6030c9f20011d8691e5f7dd5 (commit) from 42dc088f825b31b6d62515d5bf30d9294d75d693 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit ac521603ccad9b7a6030c9f20011d8691e5f7dd5 Author: Adiel Khan <ad...@sy...> Date: Fri May 16 08:06:55 2014 -0700 BOD typos from xls sheet 202-400 ----------------------------------------------------------------------- Summary of changes: distrib/examples/simple/tlm1/fifo/test.sv | 4 +- .../examples/simple/tlm1/hierarchy/hierarchy.sv | 2 +- distrib/src/base/uvm_callback.svh | 6 ++-- distrib/src/base/uvm_component.svh | 4 +- distrib/src/base/uvm_config_db.svh | 2 +- distrib/src/base/uvm_objection.svh | 10 +++--- distrib/src/base/uvm_pool.svh | 2 +- distrib/src/base/uvm_registry.svh | 2 +- distrib/src/base/uvm_resource.svh | 36 ++++++++++---------- distrib/src/base/uvm_resource_db.svh | 8 ++-- distrib/src/macros/uvm_sequence_defines.svh | 2 +- distrib/src/seq/uvm_sequence.svh | 2 +- distrib/src/seq/uvm_sequence_base.svh | 2 +- distrib/src/seq/uvm_sequencer.svh | 2 +- distrib/src/seq/uvm_sequencer_param_base.svh | 2 +- distrib/src/tlm1/uvm_ports.svh | 4 +- distrib/src/tlm1/uvm_tlm_fifo_base.svh | 2 +- distrib/src/tlm1/uvm_tlm_ifs.svh | 2 +- distrib/src/tlm1/uvm_tlm_req_rsp.svh | 2 +- distrib/src/tlm2/uvm_tlm2_time.svh | 2 +- 20 files changed, 49 insertions(+), 49 deletions(-) hooks/post-receive -- uvm |
From: Justin R. <ec...@us...> - 2014-05-15 20:27:45
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2 has been updated via 53884f36e705b963115d2e22a2d2b5c8da91f689 (commit) from 48f92b723fd1603a2199b4993e0f59550039e925 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit 53884f36e705b963115d2e22a2d2b5c8da91f689 Author: Justin Refice <jr...@nv...> Date: Thu May 15 12:31:07 2014 -0700 Merging AMD fixes ----------------------------------------------------------------------- Summary of changes: distrib/src/base/uvm_callback.svh | 2 +- distrib/src/base/uvm_cmdline_processor.svh | 12 ++++++------ distrib/src/base/uvm_coreservice.svh | 2 +- distrib/src/base/uvm_globals.svh | 4 ++-- distrib/src/base/uvm_object_globals.svh | 12 ++++++------ distrib/src/base/uvm_packer.svh | 2 +- distrib/src/base/uvm_traversal.svh | 2 +- distrib/src/dpi/uvm_hdl.svh | 2 +- distrib/src/macros/uvm_message_defines.svh | 2 +- distrib/src/reg/sequences/uvm_mem_walk_seq.svh | 2 +- distrib/src/reg/sequences/uvm_reg_bit_bash_seq.svh | 4 ++-- distrib/src/reg/sequences/uvm_reg_hw_reset_seq.svh | 2 +- distrib/src/reg/uvm_reg_cbs.svh | 4 ++-- distrib/src/reg/uvm_reg_item.svh | 2 +- distrib/src/reg/uvm_reg_predictor.svh | 2 +- distrib/src/reg/uvm_reg_sequence.svh | 4 ++-- distrib/src/reg/uvm_vreg.svh | 6 +++--- distrib/src/reg/uvm_vreg_field.svh | 2 +- distrib/src/tlm1/uvm_tlm_fifo_base.svh | 2 +- uvm_ref/cmdlineproc.txt | 2 +- uvm_ref/tlm1.txt | 2 +- 21 files changed, 37 insertions(+), 37 deletions(-) hooks/post-receive -- uvm |
From: Justin R. <ec...@us...> - 2014-05-15 19:32:00
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2_AMD_TYPO_FIXES has been created at 53884f36e705b963115d2e22a2d2b5c8da91f689 (commit) - Log ----------------------------------------------------------------- commit 53884f36e705b963115d2e22a2d2b5c8da91f689 Author: Justin Refice <jr...@nv...> Date: Thu May 15 12:31:07 2014 -0700 Merging AMD fixes BRANCH WAS CREATED ----------------------------------------------------------------------- hooks/post-receive -- uvm |
From: Uwe S. <uw...@us...> - 2014-05-15 11:01:08
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2 has been updated via 48f92b723fd1603a2199b4993e0f59550039e925 (commit) via 5076177df93a1129d05b9f7ab78ad37c4c468761 (commit) from c4dbde524a5b65b88709c2235833171d61163fb0 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit 48f92b723fd1603a2199b4993e0f59550039e925 Merge: c4dbde5 5076177 Author: uwes <uw...@ca...> Date: Thu May 15 13:52:20 2014 +0300 Merge remote-tracking branch 'origin/mantis_4920' into UVM_1_2 ----------------------------------------------------------------------- Summary of changes: distrib/release-notes.txt | 3 +++ distrib/src/base/uvm_resource.svh | 12 +++++++++++- 2 files changed, 14 insertions(+), 1 deletions(-) hooks/post-receive -- uvm |
From: Uwe S. <uw...@us...> - 2014-05-15 10:51:24
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, mantis_4920 has been created at 5076177df93a1129d05b9f7ab78ad37c4c468761 (commit) - Log ----------------------------------------------------------------- commit 5076177df93a1129d05b9f7ab78ad37c4c468761 Author: uwes <uw...@ca...> Date: Thu May 15 11:25:55 2014 +0300 fixed mantis 4920 BRANCH WAS CREATED ----------------------------------------------------------------------- hooks/post-receive -- uvm |