uvm-git Mailing List for UVM (Page 94)
Status: Alpha
Brought to you by:
ambarsarkar
You can subscribe to this list here.
2010 |
Jan
|
Feb
|
Mar
|
Apr
(46) |
May
(85) |
Jun
(8) |
Jul
(1) |
Aug
(31) |
Sep
(46) |
Oct
(125) |
Nov
(226) |
Dec
(61) |
---|---|---|---|---|---|---|---|---|---|---|---|---|
2011 |
Jan
(195) |
Feb
(66) |
Mar
(27) |
Apr
(110) |
May
(45) |
Jun
(22) |
Jul
(16) |
Aug
(25) |
Sep
(102) |
Oct
(85) |
Nov
(72) |
Dec
(6) |
2012 |
Jan
(5) |
Feb
(21) |
Mar
(33) |
Apr
(115) |
May
(53) |
Jun
(1) |
Jul
(30) |
Aug
(60) |
Sep
(30) |
Oct
(19) |
Nov
(37) |
Dec
(6) |
2013 |
Jan
(32) |
Feb
(21) |
Mar
(13) |
Apr
(23) |
May
(15) |
Jun
(22) |
Jul
(65) |
Aug
(31) |
Sep
(40) |
Oct
(42) |
Nov
(51) |
Dec
(38) |
2014 |
Jan
(15) |
Feb
(24) |
Mar
(10) |
Apr
(14) |
May
(47) |
Jun
(6) |
Jul
|
Aug
(2) |
Sep
(7) |
Oct
|
Nov
|
Dec
|
2015 |
Jan
|
Feb
(6) |
Mar
|
Apr
(2) |
May
|
Jun
(1) |
Jul
|
Aug
|
Sep
|
Oct
|
Nov
|
Dec
|
2016 |
Jan
|
Feb
|
Mar
|
Apr
|
May
|
Jun
|
Jul
|
Aug
|
Sep
|
Oct
(2) |
Nov
|
Dec
|
From: John R. <jl...@us...> - 2010-04-21 02:21:31
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, CLEANUP has been updated via 46051d4c893d7f6cfd2232effde07e076ef7d636 (commit) from 5035e56443e81c148634a214e8379a911ef117b0 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit 46051d4c893d7f6cfd2232effde07e076ef7d636 Author: John Rose <jl...@ca...> Date: Tue Apr 20 22:18:19 2010 -0400 Remove extra macro and update defines. Provide a default set of defines for non-vendor specific cases. Update the INCA defines to provide a way to turn them off from the command line. Remove the const define which is superfluous. ----------------------------------------------------------------------- Summary of changes: distrib/src/uvm_macros.svh | 35 +++++++++++++++++++++++++---------- 1 files changed, 25 insertions(+), 10 deletions(-) hooks/post-receive -- uvm |
From: Janick B. <Jan...@sy...> - 2010-04-21 00:13:04
|
I find these nested ifdef/else structures hard to follow, especially as to what gets defined/undefined at the end of the cascace. The other way to do it is to have the default defined/undefined at the top and have each simulator define/undef the respective macros. The way I structured it, I wanted to avoid a contest as to what should be the "default" setting and which simulator supports more or less of that default. I do not wish to imply that only the Big 3 can run UVM. Any other simulator would be free to add themselves to the sequence. Just to illustrate the point: :) `define _protected protected `define const const `define uvm_clear_queue(Q) Q.delete(); `define UVM_USE_FPC `define UVM_USE_P_FORMAT `define UVM_USE_FILE_LINE `undef UVM_USE_ALT_PHASING `undef UVM_USE_AAOFAA_WA // // Any vendor specific defines go here. // `ifdef VCS `endif `ifdef QUESTA `define uvm_clear_queue(Q) Q = '{}; `endif `ifdef INCA `define _protected `define const `undef UVM_USE_FPC `undef UVM_USE_P_FORMAT `undef UVM_USE_FILE_LINE `define UVM_USE_ALT_PHASING `define UVM_USE_AAOFAA_WA `endif From: Glasser, Mark [mailto:Mar...@me...] Sent: April 20, 2010 19:25 To: Janick Bergeron; John Rose; amb...@pa...; sh...@ca...; uv...@li... Cc: Fitzpatrick, Tom; Brophy, Dennis Subject: RE: [UVM:GIT Sourceforge] uvm branch, CLEANUP, created. InitialVersion-23-g4635a52 Janick, I would like to see the code be a bit more vendor independent. The way you have things structured a complaint is issued if you are not using Questa, IUS, or VCS. To the extent possible the code should have minimal knowledge of vendors. Instead of an error the default should be a default set of macro definitions for the various items specified. I believe this can be done with nested ifdefs `ifdef IUS ... `else `ifdef QUESTA `else `ifdef VCS ... `else ... default values `endif `endif `endif That way the standard can work even if someone is not using one of our simulators. -- Mark From: Janick Bergeron [mailto:Jan...@sy...] Sent: Tuesday, April 20, 2010 8:31 AM To: John Rose; amb...@pa...; jan...@sy...; sh...@ca...; Glasser, Mark; uv...@li... Subject: RE: [UVM:GIT Sourceforge] uvm branch, CLEANUP, created. InitialVersion-23-g4635a52 I've just checked in these changes to make the code run on VCS. Comments in RED (not part of actual code change) diff --git a/distrib/examples/sequence/basic_read_write_sequence/top.sv b/distri b/examples/sequence/basic_read_write_sequence/top.sv index 784ee04..5ff049d 100644 --- a/distrib/examples/sequence/basic_read_write_sequence/top.sv +++ b/distrib/examples/sequence/basic_read_write_sequence/top.sv @@ -168,8 +168,6 @@ class sequenceA #(type REQ = uvm_sequence_item, `uvm_info("sequenceA", "Starting sequence", UVM_MEDIUM) - `uvm_info("sequenceA", " sequence %0d", my_id); (Ends up in composed message and $write() wants an argument to put in its place) - for(int unsigned i = 0; i < `num_loops; i++) begin req = new(); req.addr = (my_id * `num_loops) + i; diff --git a/distrib/examples/xbus/sv/xbus_bus_monitor.sv b/distrib/examples/xbu s/sv/xbus_bus_monitor.sv index df87ad6..4ce8f6d 100644 --- a/distrib/examples/xbus/sv/xbus_bus_monitor.sv +++ b/distrib/examples/xbus/sv/xbus_bus_monitor.sv @@ -336,7 +336,7 @@ class xbus_bus_monitor extends uvm_monitor; // check_transfer_size function void check_transfer_size(); if (trans_collected.read_write != NOP) begin (symbol defined twice) - check_transfer_size : assert(trans_collected.size == 1 || + assert_transfer_size : assert(trans_collected.size == 1 || trans_collected.size == 2 || trans_collected.size == 4 || trans_collected.size == 8) else begin `uvm_error(get_type_name(), diff --git a/distrib/examples/xbus/sv/xbus_master_monitor.sv b/distrib/examples/ xbus/sv/xbus_master_monitor.sv index 488d5ce..4097888 100644 --- a/distrib/examples/xbus/sv/xbus_master_monitor.sv +++ b/distrib/examples/xbus/sv/xbus_master_monitor.sv @@ -178,7 +178,7 @@ class xbus_master_monitor extends uvm_monitor; // check_transfer_size virtual protected function void check_transfer_size(); (symbol defined twice) - check_transfer_size : assert(trans_collected.size == 1 || + assert_transfer_size : assert(trans_collected.size == 1 || trans_collected.size == 2 || trans_collected.size == 4 || trans_collected.size == 8) else begin `uvm_error(get_type_name(), diff --git a/distrib/examples/xbus/sv/xbus_slave_monitor.sv b/distrib/examples/x bus/sv/xbus_slave_monitor.sv index 4620158..a372e77 100644 --- a/distrib/examples/xbus/sv/xbus_slave_monitor.sv +++ b/distrib/examples/xbus/sv/xbus_slave_monitor.sv @@ -209,7 +209,7 @@ class xbus_slave_monitor extends uvm_monitor; // check_transfer_size protected function void check_transfer_size(); (symbol defined twice) - check_transfer_size : assert(trans_collected.size == 1 || + assert_transfer_size : assert(trans_collected.size == 1 || trans_collected.size == 2 || trans_collected.size == 4 || trans_collected.size == 8) else begin `uvm_error(get_type_name(), diff --git a/distrib/src/base/uvm_component.svh b/distrib/src/base/uvm_component .svh index 3064253..a7a1424 100644 --- a/distrib/src/base/uvm_component.svh +++ b/distrib/src/base/uvm_component.svh @@ -1346,9 +1346,8 @@ virtual class uvm_component extends uvm_report_object; `ifdef UVM_USE_FPC protected process m_phase_process; - `else - protected event m_kill_request; (Accidentally taken out) `endif + protected event m_kill_request; string m_name; diff --git a/distrib/src/base/uvm_queue.svh b/distrib/src/base/uvm_queue.svh index 17e6125..28b2544 100644 --- a/distrib/src/base/uvm_queue.svh +++ b/distrib/src/base/uvm_queue.svh @@ -84,7 +84,7 @@ class uvm_queue #(type T=int) extends uvm_object; // and returned. virtual function T get (int index); - const T default_value; (Accidentally restored) + T default_value; if (index >= size() || index < 0) begin uvm_report_warning("QUEUEGET", $psprintf("get: given index out of range for queue of size %0d. Ignorin g get request",size())); I also re-arranged the tool-specific defines section in uvm_macros. I find it easier to understand that way. Feel free to undo if you object. // // Any vendor specific defines go here. // `ifdef VCS `define _protected protected `define const const `define uvm_clear_queue(Q) Q.delete(); `define UVM_USE_FPC `define UVM_USE_P_FORMAT `define UVM_USE_FILE_LINE `undef UVM_USE_ALT_PHASING `undef UVM_USE_AAOFAA_WA `endif `ifdef QUESTA `define _protected protected `define const const `define uvm_clear_queue(Q) Q = '{}; `define UVM_USE_FPC `define UVM_USE_P_FORMAT `define UVM_USE_FILE_LINE `undef UVM_USE_ALT_PHASING `undef UVM_USE_AAOFAA_WA `endif `ifdef INCA `define _protected `define const `define uvm_clear_queue(Q) Q.delete(); `undef UVM_USE_FPC `undef UVM_USE_P_FORMAT `undef UVM_USE_FILE_LINE `define UVM_USE_ALT_PHASING `define UVM_USE_AAOFAA_WA `endif `ifndef VCS `ifndef QUESTA `ifndef INCA // Throw a syntax error if `VCS, `QUESTA and `INCA are undefined ERROR Must_define_VCS_QUESTA_or_INCA `endif `endif `endif -----Original Message----- From: John Rose [mailto:jl...@us...] Sent: April 20, 2010 01:16 To: amb...@pa...; jan...@sy...; sh...@ca...; Mar...@me...; uv...@li... Subject: [UVM:GIT Sourceforge] uvm branch, CLEANUP, created. InitialVersion-23-g4635a52 This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, CLEANUP has been created at 4635a5233734323e92e10dd79808b9509b52af7e (commit) - Log ----------------------------------------------------------------- commit 4635a5233734323e92e10dd79808b9509b52af7e Author: John Rose <jl...@ca...> Date: Tue Apr 20 01:10:31 2010 -0400 Cleaned up deprecated features, tool specific ifdefs and compile scripts. Removed all ifdef INCA when possible. Specific feature macros were consolidated into src/uvm_macros.svh; there should be no tools specific ifdefs in any other file. Fixed all of the examples to run on IUS. Updated copyrights for Mentor and Cadence, and added Synopsys copyright to files that were missing it. BRANCH WAS CREATED BY: John Rose(jl...@ca...) John Rose(jl...@ca...) ----------------------------------------------------------------------- hooks/post-receive -- uvm |
From: Glasser, M. <Mar...@me...> - 2010-04-20 23:25:03
|
Janick, I would like to see the code be a bit more vendor independent. The way you have things structured a complaint is issued if you are not using Questa, IUS, or VCS. To the extent possible the code should have minimal knowledge of vendors. Instead of an error the default should be a default set of macro definitions for the various items specified. I believe this can be done with nested ifdefs `ifdef IUS ... `else `ifdef QUESTA `else `ifdef VCS ... `else ... default values `endif `endif `endif That way the standard can work even if someone is not using one of our simulators. -- Mark From: Janick Bergeron [mailto:Jan...@sy...] Sent: Tuesday, April 20, 2010 8:31 AM To: John Rose; amb...@pa...; jan...@sy...; sh...@ca...; Glasser, Mark; uv...@li... Subject: RE: [UVM:GIT Sourceforge] uvm branch, CLEANUP, created. InitialVersion-23-g4635a52 I've just checked in these changes to make the code run on VCS. Comments in RED (not part of actual code change) diff --git a/distrib/examples/sequence/basic_read_write_sequence/top.sv b/distri b/examples/sequence/basic_read_write_sequence/top.sv index 784ee04..5ff049d 100644 --- a/distrib/examples/sequence/basic_read_write_sequence/top.sv +++ b/distrib/examples/sequence/basic_read_write_sequence/top.sv @@ -168,8 +168,6 @@ class sequenceA #(type REQ = uvm_sequence_item, `uvm_info("sequenceA", "Starting sequence", UVM_MEDIUM) - `uvm_info("sequenceA", " sequence %0d", my_id); (Ends up in composed message and $write() wants an argument to put in its place) - for(int unsigned i = 0; i < `num_loops; i++) begin req = new(); req.addr = (my_id * `num_loops) + i; diff --git a/distrib/examples/xbus/sv/xbus_bus_monitor.sv b/distrib/examples/xbu s/sv/xbus_bus_monitor.sv index df87ad6..4ce8f6d 100644 --- a/distrib/examples/xbus/sv/xbus_bus_monitor.sv +++ b/distrib/examples/xbus/sv/xbus_bus_monitor.sv @@ -336,7 +336,7 @@ class xbus_bus_monitor extends uvm_monitor; // check_transfer_size function void check_transfer_size(); if (trans_collected.read_write != NOP) begin (symbol defined twice) - check_transfer_size : assert(trans_collected.size == 1 || + assert_transfer_size : assert(trans_collected.size == 1 || trans_collected.size == 2 || trans_collected.size == 4 || trans_collected.size == 8) else begin `uvm_error(get_type_name(), diff --git a/distrib/examples/xbus/sv/xbus_master_monitor.sv b/distrib/examples/ xbus/sv/xbus_master_monitor.sv index 488d5ce..4097888 100644 --- a/distrib/examples/xbus/sv/xbus_master_monitor.sv +++ b/distrib/examples/xbus/sv/xbus_master_monitor.sv @@ -178,7 +178,7 @@ class xbus_master_monitor extends uvm_monitor; // check_transfer_size virtual protected function void check_transfer_size(); (symbol defined twice) - check_transfer_size : assert(trans_collected.size == 1 || + assert_transfer_size : assert(trans_collected.size == 1 || trans_collected.size == 2 || trans_collected.size == 4 || trans_collected.size == 8) else begin `uvm_error(get_type_name(), diff --git a/distrib/examples/xbus/sv/xbus_slave_monitor.sv b/distrib/examples/x bus/sv/xbus_slave_monitor.sv index 4620158..a372e77 100644 --- a/distrib/examples/xbus/sv/xbus_slave_monitor.sv +++ b/distrib/examples/xbus/sv/xbus_slave_monitor.sv @@ -209,7 +209,7 @@ class xbus_slave_monitor extends uvm_monitor; // check_transfer_size protected function void check_transfer_size(); (symbol defined twice) - check_transfer_size : assert(trans_collected.size == 1 || + assert_transfer_size : assert(trans_collected.size == 1 || trans_collected.size == 2 || trans_collected.size == 4 || trans_collected.size == 8) else begin `uvm_error(get_type_name(), diff --git a/distrib/src/base/uvm_component.svh b/distrib/src/base/uvm_component .svh index 3064253..a7a1424 100644 --- a/distrib/src/base/uvm_component.svh +++ b/distrib/src/base/uvm_component.svh @@ -1346,9 +1346,8 @@ virtual class uvm_component extends uvm_report_object; `ifdef UVM_USE_FPC protected process m_phase_process; - `else - protected event m_kill_request; (Accidentally taken out) `endif + protected event m_kill_request; string m_name; diff --git a/distrib/src/base/uvm_queue.svh b/distrib/src/base/uvm_queue.svh index 17e6125..28b2544 100644 --- a/distrib/src/base/uvm_queue.svh +++ b/distrib/src/base/uvm_queue.svh @@ -84,7 +84,7 @@ class uvm_queue #(type T=int) extends uvm_object; // and returned. virtual function T get (int index); - const T default_value; (Accidentally restored) + T default_value; if (index >= size() || index < 0) begin uvm_report_warning("QUEUEGET", $psprintf("get: given index out of range for queue of size %0d. Ignorin g get request",size())); I also re-arranged the tool-specific defines section in uvm_macros. I find it easier to understand that way. Feel free to undo if you object. // // Any vendor specific defines go here. // `ifdef VCS `define _protected protected `define const const `define uvm_clear_queue(Q) Q.delete(); `define UVM_USE_FPC `define UVM_USE_P_FORMAT `define UVM_USE_FILE_LINE `undef UVM_USE_ALT_PHASING `undef UVM_USE_AAOFAA_WA `endif `ifdef QUESTA `define _protected protected `define const const `define uvm_clear_queue(Q) Q = '{}; `define UVM_USE_FPC `define UVM_USE_P_FORMAT `define UVM_USE_FILE_LINE `undef UVM_USE_ALT_PHASING `undef UVM_USE_AAOFAA_WA `endif `ifdef INCA `define _protected `define const `define uvm_clear_queue(Q) Q.delete(); `undef UVM_USE_FPC `undef UVM_USE_P_FORMAT `undef UVM_USE_FILE_LINE `define UVM_USE_ALT_PHASING `define UVM_USE_AAOFAA_WA `endif `ifndef VCS `ifndef QUESTA `ifndef INCA // Throw a syntax error if `VCS, `QUESTA and `INCA are undefined ERROR Must_define_VCS_QUESTA_or_INCA `endif `endif `endif -----Original Message----- From: John Rose [mailto:jl...@us...] Sent: April 20, 2010 01:16 To: amb...@pa...; jan...@sy...; sh...@ca...; Mar...@me...; uv...@li... Subject: [UVM:GIT Sourceforge] uvm branch, CLEANUP, created. InitialVersion-23-g4635a52 This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, CLEANUP has been created at 4635a5233734323e92e10dd79808b9509b52af7e (commit) - Log ----------------------------------------------------------------- commit 4635a5233734323e92e10dd79808b9509b52af7e Author: John Rose <jl...@ca...> Date: Tue Apr 20 01:10:31 2010 -0400 Cleaned up deprecated features, tool specific ifdefs and compile scripts. Removed all ifdef INCA when possible. Specific feature macros were consolidated into src/uvm_macros.svh; there should be no tools specific ifdefs in any other file. Fixed all of the examples to run on IUS. Updated copyrights for Mentor and Cadence, and added Synopsys copyright to files that were missing it. BRANCH WAS CREATED BY: John Rose(jl...@ca...) John Rose(jl...@ca...) ----------------------------------------------------------------------- hooks/post-receive -- uvm |
From: Janick B. <Jan...@sy...> - 2010-04-20 15:50:54
|
I've just checked in these changes to make the code run on VCS. Comments in RED (not part of actual code change) diff --git a/distrib/examples/sequence/basic_read_write_sequence/top.sv b/distri b/examples/sequence/basic_read_write_sequence/top.sv index 784ee04..5ff049d 100644 --- a/distrib/examples/sequence/basic_read_write_sequence/top.sv +++ b/distrib/examples/sequence/basic_read_write_sequence/top.sv @@ -168,8 +168,6 @@ class sequenceA #(type REQ = uvm_sequence_item, `uvm_info("sequenceA", "Starting sequence", UVM_MEDIUM) - `uvm_info("sequenceA", " sequence %0d", my_id); (Ends up in composed message and $write() wants an argument to put in its place) - for(int unsigned i = 0; i < `num_loops; i++) begin req = new(); req.addr = (my_id * `num_loops) + i; diff --git a/distrib/examples/xbus/sv/xbus_bus_monitor.sv b/distrib/examples/xbu s/sv/xbus_bus_monitor.sv index df87ad6..4ce8f6d 100644 --- a/distrib/examples/xbus/sv/xbus_bus_monitor.sv +++ b/distrib/examples/xbus/sv/xbus_bus_monitor.sv @@ -336,7 +336,7 @@ class xbus_bus_monitor extends uvm_monitor; // check_transfer_size function void check_transfer_size(); if (trans_collected.read_write != NOP) begin (symbol defined twice) - check_transfer_size : assert(trans_collected.size == 1 || + assert_transfer_size : assert(trans_collected.size == 1 || trans_collected.size == 2 || trans_collected.size == 4 || trans_collected.size == 8) else begin `uvm_error(get_type_name(), diff --git a/distrib/examples/xbus/sv/xbus_master_monitor.sv b/distrib/examples/ xbus/sv/xbus_master_monitor.sv index 488d5ce..4097888 100644 --- a/distrib/examples/xbus/sv/xbus_master_monitor.sv +++ b/distrib/examples/xbus/sv/xbus_master_monitor.sv @@ -178,7 +178,7 @@ class xbus_master_monitor extends uvm_monitor; // check_transfer_size virtual protected function void check_transfer_size(); (symbol defined twice) - check_transfer_size : assert(trans_collected.size == 1 || + assert_transfer_size : assert(trans_collected.size == 1 || trans_collected.size == 2 || trans_collected.size == 4 || trans_collected.size == 8) else begin `uvm_error(get_type_name(), diff --git a/distrib/examples/xbus/sv/xbus_slave_monitor.sv b/distrib/examples/x bus/sv/xbus_slave_monitor.sv index 4620158..a372e77 100644 --- a/distrib/examples/xbus/sv/xbus_slave_monitor.sv +++ b/distrib/examples/xbus/sv/xbus_slave_monitor.sv @@ -209,7 +209,7 @@ class xbus_slave_monitor extends uvm_monitor; // check_transfer_size protected function void check_transfer_size(); (symbol defined twice) - check_transfer_size : assert(trans_collected.size == 1 || + assert_transfer_size : assert(trans_collected.size == 1 || trans_collected.size == 2 || trans_collected.size == 4 || trans_collected.size == 8) else begin `uvm_error(get_type_name(), diff --git a/distrib/src/base/uvm_component.svh b/distrib/src/base/uvm_component .svh index 3064253..a7a1424 100644 --- a/distrib/src/base/uvm_component.svh +++ b/distrib/src/base/uvm_component.svh @@ -1346,9 +1346,8 @@ virtual class uvm_component extends uvm_report_object; `ifdef UVM_USE_FPC protected process m_phase_process; - `else - protected event m_kill_request; (Accidentally taken out) `endif + protected event m_kill_request; string m_name; diff --git a/distrib/src/base/uvm_queue.svh b/distrib/src/base/uvm_queue.svh index 17e6125..28b2544 100644 --- a/distrib/src/base/uvm_queue.svh +++ b/distrib/src/base/uvm_queue.svh @@ -84,7 +84,7 @@ class uvm_queue #(type T=int) extends uvm_object; // and returned. virtual function T get (int index); - const T default_value; (Accidentally restored) + T default_value; if (index >= size() || index < 0) begin uvm_report_warning("QUEUEGET", $psprintf("get: given index out of range for queue of size %0d. Ignorin g get request",size())); I also re-arranged the tool-specific defines section in uvm_macros. I find it easier to understand that way. Feel free to undo if you object. // // Any vendor specific defines go here. // `ifdef VCS `define _protected protected `define const const `define uvm_clear_queue(Q) Q.delete(); `define UVM_USE_FPC `define UVM_USE_P_FORMAT `define UVM_USE_FILE_LINE `undef UVM_USE_ALT_PHASING `undef UVM_USE_AAOFAA_WA `endif `ifdef QUESTA `define _protected protected `define const const `define uvm_clear_queue(Q) Q = '{}; `define UVM_USE_FPC `define UVM_USE_P_FORMAT `define UVM_USE_FILE_LINE `undef UVM_USE_ALT_PHASING `undef UVM_USE_AAOFAA_WA `endif `ifdef INCA `define _protected `define const `define uvm_clear_queue(Q) Q.delete(); `undef UVM_USE_FPC `undef UVM_USE_P_FORMAT `undef UVM_USE_FILE_LINE `define UVM_USE_ALT_PHASING `define UVM_USE_AAOFAA_WA `endif `ifndef VCS `ifndef QUESTA `ifndef INCA // Throw a syntax error if `VCS, `QUESTA and `INCA are undefined ERROR Must_define_VCS_QUESTA_or_INCA `endif `endif `endif -----Original Message----- From: John Rose [mailto:jl...@us...] Sent: April 20, 2010 01:16 To: amb...@pa...; jan...@sy...; sh...@ca...; Mar...@me...; uv...@li... Subject: [UVM:GIT Sourceforge] uvm branch, CLEANUP, created. InitialVersion-23-g4635a52 This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, CLEANUP has been created at 4635a5233734323e92e10dd79808b9509b52af7e (commit) - Log ----------------------------------------------------------------- commit 4635a5233734323e92e10dd79808b9509b52af7e Author: John Rose <jl...@ca...> Date: Tue Apr 20 01:10:31 2010 -0400 Cleaned up deprecated features, tool specific ifdefs and compile scripts. Removed all ifdef INCA when possible. Specific feature macros were consolidated into src/uvm_macros.svh; there should be no tools specific ifdefs in any other file. Fixed all of the examples to run on IUS. Updated copyrights for Mentor and Cadence, and added Synopsys copyright to files that were missing it. BRANCH WAS CREATED BY: John Rose(jl...@ca...) John Rose(jl...@ca...) ----------------------------------------------------------------------- hooks/post-receive -- uvm |
From: Janick B. <ja...@us...> - 2010-04-20 15:30:04
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, CLEANUP has been updated via 5035e56443e81c148634a214e8379a911ef117b0 (commit) from fe9a47f5a1cb544ede9e150b0237d46ce3abd5bc (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit 5035e56443e81c148634a214e8379a911ef117b0 Author: Janick Bergeron <ja...@sy...> Date: Tue Apr 20 08:22:49 2010 -0700 Made changes required by VCS ----------------------------------------------------------------------- Summary of changes: .../sequence/basic_read_write_sequence/top.sv | 2 - distrib/examples/xbus/sv/xbus_bus_monitor.sv | 2 +- distrib/examples/xbus/sv/xbus_master_monitor.sv | 2 +- distrib/examples/xbus/sv/xbus_slave_monitor.sv | 2 +- distrib/src/base/uvm_component.svh | 3 +- distrib/src/base/uvm_queue.svh | 2 +- distrib/src/uvm_macros.svh | 49 ++++++++++++-------- 7 files changed, 35 insertions(+), 27 deletions(-) hooks/post-receive -- uvm |
From: John R. <jl...@us...> - 2010-04-20 14:12:23
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, CLEANUP has been updated via fe9a47f5a1cb544ede9e150b0237d46ce3abd5bc (commit) from ec7aa27c55847e6b76ccaed0a7b7bca03b307ef0 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit fe9a47f5a1cb544ede9e150b0237d46ce3abd5bc Author: John Rose <jl...@ca...> Date: Tue Apr 20 10:11:22 2010 -0400 Fix bad merge of uvm_component.sv. Left duplicate definition of do_print(). ----------------------------------------------------------------------- Summary of changes: distrib/src/base/uvm_component.sv | 30 ------------------------------ 1 files changed, 0 insertions(+), 30 deletions(-) hooks/post-receive -- uvm |
From: John R. <jl...@us...> - 2010-04-20 13:53:50
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, CLEANUP has been updated via ec7aa27c55847e6b76ccaed0a7b7bca03b307ef0 (commit) via 2917dd12b6a795181f68c12f6ef682698b24c900 (commit) via 5540504a60b1adc0a5b7360a17e452d3413ec79a (commit) from 4635a5233734323e92e10dd79808b9509b52af7e (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit ec7aa27c55847e6b76ccaed0a7b7bca03b307ef0 Merge: 2917dd12b6a795181f68c12f6ef682698b24c900 5540504a60b1adc0a5b7360a17e452d3413ec79a Author: John Rose <jl...@ca...> Date: Tue Apr 20 09:46:15 2010 -0400 Merge remote branch 'origin/REMOVE_AVM_uRM' into CLEANUP Conflicts: distrib/src/base/uvm_component.sv distrib/src/base/uvm_component.svh distrib/src/base/uvm_report_object.svh distrib/src/uvm.svh distrib/src/uvm_base_pkg.sv distrib/src/uvm_macros.svh commit 2917dd12b6a795181f68c12f6ef682698b24c900 Author: John Rose <jl...@ca...> Date: Tue Apr 20 09:33:58 2010 -0400 Remove leftover svpp ifdefs that got missed in the initial cleanup. ----------------------------------------------------------------------- Summary of changes: distrib/examples/xbus/sv/xbus.svh | 4 - distrib/src/base/base.svh | 4 - distrib/src/base/uvm_component.sv | 32 +- distrib/src/base/uvm_component.svh | 2 +- distrib/src/base/uvm_misc.sv | 2 +- distrib/src/base/uvm_misc.svh | 2 - distrib/src/base/uvm_object_globals.svh | 19 - distrib/src/base/uvm_report_object.svh | 1 - distrib/src/compatibility/avm_compatibility.svh | 320 ------ distrib/src/compatibility/avm_pkg.sv | 32 - distrib/src/compatibility/base_compatibility.svh | 30 - distrib/src/compatibility/compatibility.svh | 29 - distrib/src/compatibility/urm.svh | 32 - distrib/src/compatibility/urm_compatibility.svh | 23 - .../src/compatibility/urm_macro_compatibility.svh | 91 -- distrib/src/compatibility/urm_message.sv | 1068 -------------------- distrib/src/compatibility/urm_message.svh | 700 ------------- .../compatibility/urm_message_compatibility.svh | 85 -- distrib/src/compatibility/urm_message_defines.svh | 331 ------ .../src/compatibility/urm_meth_compatibility.svh | 119 --- .../src/compatibility/urm_port_compatibility.svh | 33 - .../src/compatibility/urm_type_compatibility.svh | 106 -- distrib/src/macros/uvm_undefineall.svh | 97 -- distrib/src/methodology/uvm_policies.svh | 2 +- 24 files changed, 34 insertions(+), 3130 deletions(-) delete mode 100644 distrib/src/compatibility/avm_compatibility.svh delete mode 100644 distrib/src/compatibility/avm_pkg.sv delete mode 100644 distrib/src/compatibility/base_compatibility.svh delete mode 100644 distrib/src/compatibility/compatibility.svh delete mode 100644 distrib/src/compatibility/urm.svh delete mode 100644 distrib/src/compatibility/urm_compatibility.svh delete mode 100644 distrib/src/compatibility/urm_macro_compatibility.svh delete mode 100644 distrib/src/compatibility/urm_message.sv delete mode 100644 distrib/src/compatibility/urm_message.svh delete mode 100644 distrib/src/compatibility/urm_message_compatibility.svh delete mode 100644 distrib/src/compatibility/urm_message_defines.svh delete mode 100644 distrib/src/compatibility/urm_meth_compatibility.svh delete mode 100644 distrib/src/compatibility/urm_port_compatibility.svh delete mode 100644 distrib/src/compatibility/urm_type_compatibility.svh hooks/post-receive -- uvm |
From: John R. <jl...@us...> - 2010-04-20 05:15:55
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, CLEANUP has been created at 4635a5233734323e92e10dd79808b9509b52af7e (commit) - Log ----------------------------------------------------------------- commit 4635a5233734323e92e10dd79808b9509b52af7e Author: John Rose <jl...@ca...> Date: Tue Apr 20 01:10:31 2010 -0400 Cleaned up deprecated features, tool specific ifdefs and compile scripts. Removed all ifdef INCA when possible. Specific feature macros were consolidated into src/uvm_macros.svh; there should be no tools specific ifdefs in any other file. Fixed all of the examples to run on IUS. Updated copyrights for Mentor and Cadence, and added Synopsys copyright to files that were missing it. BRANCH WAS CREATED BY: John Rose(jl...@ca...) John Rose(jl...@ca...) ----------------------------------------------------------------------- hooks/post-receive -- uvm |
From: John R. <jl...@us...> - 2010-04-19 19:23:57
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, SNPS_ReportCatcher has been updated via d88cd269026d98f7a72bb20a67b5188bfff89362 (commit) from c39f2d8a215de021d69ca3cdcae11238eeb2a1c3 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit d88cd269026d98f7a72bb20a67b5188bfff89362 Author: John Rose <jl...@ca...> Date: Mon Apr 19 15:14:05 2010 -0400 Modified to run on IUS. Change __FILE__/__LINE__ macros to uvm_file/uvm_line. Modifed usages of static const to be const static (per LRM). Fixed bug in the first argument of the call to uvm_report_server::process_report() called from uvm_report_catcher::issue(), this argument is severity, not message. ----------------------------------------------------------------------- Summary of changes: distrib/src/base/uvm_report_catcher.svh | 28 ++++++++++++++-------------- 1 files changed, 14 insertions(+), 14 deletions(-) hooks/post-receive -- uvm |
From: Janick B. <ja...@us...> - 2010-04-19 19:08:29
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, TESTS has been updated via 4a2205eabe3448c9f4fb6bb237ca7bf7cc2f4912 (commit) from 5718d7c49a0a85ed89dbf0001e203bff1afe3d83 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit 4a2205eabe3448c9f4fb6bb237ca7bf7cc2f4912 Author: Janick Bergeron <ja...@sy...> Date: Mon Apr 19 12:07:44 2010 -0700 Added support for sub-sub-sub...-subgroup of tests ----------------------------------------------------------------------- Summary of changes: admin/bin/run_tests | 52 ++++++++++++++++--- .../10subsub/10subsubsub}/test.sv | 0 .../{00hello => 20subgroup/10subsub}/test.sv | 0 .../20subsub/10subsubsub}/test.sv | 0 .../{00hello => 20subgroup/20subsub}/test.sv | 0 tests/00basic/{00hello => 20subgroup}/test.sv | 0 tests/README.txt | 5 ++ 7 files changed, 48 insertions(+), 9 deletions(-) copy tests/00basic/{00hello => 20subgroup/10subsub/10subsubsub}/test.sv (100%) copy tests/00basic/{00hello => 20subgroup/10subsub}/test.sv (100%) copy tests/00basic/{00hello => 20subgroup/20subsub/10subsubsub}/test.sv (100%) copy tests/00basic/{00hello => 20subgroup/20subsub}/test.sv (100%) copy tests/00basic/{00hello => 20subgroup}/test.sv (100%) hooks/post-receive -- uvm |
From: Ambar S. <amb...@us...> - 2010-04-18 01:08:22
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, master has been updated via a4c6bf70dbb6614d852c6e765bf146b2b727dd13 (commit) from c7c240b3683d7ef33d22cf8f4b878ddc41112f9e (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit a4c6bf70dbb6614d852c6e765bf146b2b727dd13 Author: Ambar Sarkar <amb...@pa...> Date: Sat Apr 17 21:08:00 2010 -0400 Test news group posting ----------------------------------------------------------------------- Summary of changes: README | 3 ++- 1 files changed, 2 insertions(+), 1 deletions(-) hooks/post-receive -- uvm |