myhdl-list Mailing List for MyHDL (Page 126)
Brought to you by:
jandecaluwe
You can subscribe to this list here.
2003 |
Jan
|
Feb
|
Mar
|
Apr
|
May
|
Jun
|
Jul
|
Aug
|
Sep
|
Oct
(14) |
Nov
(4) |
Dec
|
---|---|---|---|---|---|---|---|---|---|---|---|---|
2004 |
Jan
(1) |
Feb
(10) |
Mar
(19) |
Apr
(14) |
May
(1) |
Jun
(4) |
Jul
(10) |
Aug
|
Sep
(2) |
Oct
(7) |
Nov
(17) |
Dec
(12) |
2005 |
Jan
(6) |
Feb
(10) |
Mar
(17) |
Apr
(10) |
May
(9) |
Jun
(5) |
Jul
(26) |
Aug
(34) |
Sep
(10) |
Oct
(38) |
Nov
(71) |
Dec
(74) |
2006 |
Jan
(20) |
Feb
(20) |
Mar
(7) |
Apr
(2) |
May
(13) |
Jun
|
Jul
|
Aug
(4) |
Sep
(37) |
Oct
(43) |
Nov
(30) |
Dec
(33) |
2007 |
Jan
(3) |
Feb
|
Mar
|
Apr
|
May
(30) |
Jun
(9) |
Jul
(1) |
Aug
|
Sep
(8) |
Oct
(13) |
Nov
|
Dec
(4) |
2008 |
Jan
(13) |
Feb
(46) |
Mar
(25) |
Apr
(7) |
May
(20) |
Jun
(73) |
Jul
(38) |
Aug
(47) |
Sep
(24) |
Oct
(18) |
Nov
(9) |
Dec
(36) |
2009 |
Jan
(31) |
Feb
(24) |
Mar
(73) |
Apr
(13) |
May
(47) |
Jun
(28) |
Jul
(36) |
Aug
(2) |
Sep
(5) |
Oct
(8) |
Nov
(16) |
Dec
(29) |
2010 |
Jan
(34) |
Feb
(18) |
Mar
(18) |
Apr
(5) |
May
|
Jun
(24) |
Jul
(53) |
Aug
(3) |
Sep
(18) |
Oct
(33) |
Nov
(19) |
Dec
(15) |
2011 |
Jan
(9) |
Feb
(4) |
Mar
(39) |
Apr
(213) |
May
(86) |
Jun
(46) |
Jul
(22) |
Aug
(11) |
Sep
(78) |
Oct
(59) |
Nov
(38) |
Dec
(24) |
2012 |
Jan
(9) |
Feb
(22) |
Mar
(89) |
Apr
(55) |
May
(222) |
Jun
(86) |
Jul
(57) |
Aug
(32) |
Sep
(49) |
Oct
(69) |
Nov
(12) |
Dec
(35) |
2013 |
Jan
(67) |
Feb
(39) |
Mar
(18) |
Apr
(42) |
May
(79) |
Jun
(1) |
Jul
(19) |
Aug
(18) |
Sep
(54) |
Oct
(79) |
Nov
(9) |
Dec
(26) |
2014 |
Jan
(30) |
Feb
(44) |
Mar
(26) |
Apr
(11) |
May
(39) |
Jun
(1) |
Jul
(89) |
Aug
(15) |
Sep
(7) |
Oct
(6) |
Nov
(20) |
Dec
(27) |
2015 |
Jan
(107) |
Feb
(106) |
Mar
(130) |
Apr
(90) |
May
(147) |
Jun
(28) |
Jul
(53) |
Aug
(16) |
Sep
(23) |
Oct
(7) |
Nov
|
Dec
(16) |
2016 |
Jan
(86) |
Feb
(41) |
Mar
(38) |
Apr
(31) |
May
(37) |
Jun
(11) |
Jul
(1) |
Aug
(1) |
Sep
(3) |
Oct
(1) |
Nov
(5) |
Dec
(3) |
2017 |
Jan
|
Feb
(4) |
Mar
(2) |
Apr
(2) |
May
|
Jun
(3) |
Jul
(2) |
Aug
(2) |
Sep
(1) |
Oct
(2) |
Nov
(1) |
Dec
(1) |
2018 |
Jan
(1) |
Feb
(1) |
Mar
(7) |
Apr
(1) |
May
|
Jun
|
Jul
|
Aug
|
Sep
|
Oct
|
Nov
|
Dec
|
2019 |
Jan
(1) |
Feb
|
Mar
(2) |
Apr
(1) |
May
(1) |
Jun
(2) |
Jul
|
Aug
|
Sep
(1) |
Oct
|
Nov
(3) |
Dec
|
2020 |
Jan
(1) |
Feb
(2) |
Mar
|
Apr
(1) |
May
|
Jun
|
Jul
(1) |
Aug
(1) |
Sep
(1) |
Oct
|
Nov
|
Dec
(3) |
2021 |
Jan
|
Feb
|
Mar
|
Apr
|
May
|
Jun
(1) |
Jul
(2) |
Aug
|
Sep
|
Oct
|
Nov
(12) |
Dec
(11) |
2022 |
Jan
(7) |
Feb
(2) |
Mar
(1) |
Apr
|
May
|
Jun
(1) |
Jul
(3) |
Aug
(2) |
Sep
(1) |
Oct
|
Nov
|
Dec
(1) |
2023 |
Jan
|
Feb
(1) |
Mar
(1) |
Apr
(3) |
May
|
Jun
|
Jul
|
Aug
(1) |
Sep
|
Oct
|
Nov
|
Dec
(1) |
2024 |
Jan
(1) |
Feb
(2) |
Mar
(4) |
Apr
(2) |
May
(2) |
Jun
(1) |
Jul
|
Aug
(1) |
Sep
(1) |
Oct
|
Nov
|
Dec
(2) |
2025 |
Jan
(1) |
Feb
|
Mar
|
Apr
|
May
|
Jun
|
Jul
(1) |
Aug
|
Sep
|
Oct
|
Nov
|
Dec
|
From: Kevin S. <sta...@gm...> - 2011-04-03 19:35:12
|
Awesome, thanks. On Apr 3, 2011, at 2:24 PM, Christopher Felton <chr...@gm...> wrote: > Gtkwave analog plot of fixed-point value. > > ** sent from mobile device ** > > On Apr 3, 2011 1:29 PM, "Kevin Stanton" <sta...@gm...> wrote: > > That poster looks great! > > > > I'm curious what waveform viewer is being shown in the middle in black and white? Is it gtkwave? I wasn't aware that it would allow plotting of an analog waveform (or is it an analog plot of a fixedpoint signal?) > > > > > > On Apr 1, 2011, at 6:44 PM, Christopher Felton <chr...@gm...> wrote: > > > >> I was probably the last person in the world that should have attempt to generate a poster, but I did. If you think it is usable feel free to use it. > >> > >> If anyone has suggestions I can try and incorporate them or send the source. We could create a wiki page for camp prep :) > >> > >> Chris Felton > >> > >> On 4/1/11 11:07 AM, Christopher Lozinski wrote: > >>> I have been reading the myhdl.org website. It is superbly done. > >>> Very carefully written. Looks great. It is not even his native > >>> language, but I could not tell. It makes me expect the software will be > >>> as carefully done. It looks like a labor of love. > >>> > >>> My perspective on this is that it is an obvious way to rapidly > >>> design and thoroughly test new hardware designs. But somehow the rest of > >>> the world does not see it this way. It needs some marketing push. > >>> > >>> I think the most important thing for the trade show is the flyer. > >>> Let everyone get a page of material to take home, they can read it > >>> later, download the software, and read the website. There is great > >>> content on > >>> http://myhdl.org/doku.php/start > >>> > >>> and > >>> http://myhdl.org/doku.php/why > >>> > >>> The next most important thing is a sign. I think it is easy to print up > >>> a big black and white sign across multiple sheets of paper > >>> > >>> MyHDL = Python -> FPGA > >>> > >>> Then I can scotch tape them together, and put them up on the wall. > >>> > >>> But it is always good to make money off things. It means it is > >>> alive. What do people need to get started. Well the best thing would > >>> be a class. Go to the show, get a flyer, go home read it look at the > >>> web site, go sign up for a class. I read that some of these design > >>> tools cost $100,000 for 1 seat for a year. Amazing. Surely they could > >>> spring $1,000 for a class. Does anyone want to teach a class in this > >>> stuff. We could say 5 student minimum. > >>> > >>> As for python classes, I am glad to see there is now a data > >>> structure class. That is a step in the right direction. There was > >>> recently a discussion on floating point classes. The hardware engineers > >>> said it is too inefficient, just define the range for your application. > >>> The software engineers like myself, say just give us the floating point > >>> classes. I do not know the ranges. Some of the FPGA devices have > >>> floating point multipliers. How do I talk to them? By writing my own > >>> class. Ridiculous. Give me the class please. > >>> > >>> A rich class library is a sign of a mature python library. They > >>> talk about ip cores in this industry. Yes I would like python designs > >>> for ethernet interface, usb interface, etc. And certainly for floating > >>> point, and for a whole bunch of other stuff. > >>> > >>> Thank you for the offer of the hardware demo. I have not sent > >>> Christopher Felton my mailing address. I think that demo targets the > >>> wrong market. It uses a FPGA to generate some sounds. I think it > >>> targets the hobbyist market. The money is in the major corporations. > >>> What they want to do is minimize their risk. They want to see large > >>> complex designs that have been produced with this tool suite. They want > >>> us to argue that python allows for complex test suites, further reducing > >>> their risks. > >>> > >>> So does anyone have some complex designs, preferably that went to > >>> silicon that we can show and simulate? Is anyone interested in offering > >>> a MyHDL class? > >>> > >> > >> <myhdl_fpgacamp.pdf> > >> ------------------------------------------------------------------------------ > >> Create and publish websites with WebMatrix > >> Use the most popular FREE web apps or write code yourself; > >> WebMatrix provides all the features you need to develop and > >> publish your website. http://p.sf.net/sfu/ms-webmatrix-sf > >> _______________________________________________ > >> myhdl-list mailing list > >> myh...@li... > >> https://lists.sourceforge.net/lists/listinfo/myhdl-list > > > > > > ------------------------------------------------------------------------------ > > Create and publish websites with WebMatrix > > Use the most popular FREE web apps or write code yourself; > > WebMatrix provides all the features you need to develop and > > publish your website. http://p.sf.net/sfu/ms-webmatrix-sf > > _______________________________________________ > > myhdl-list mailing list > > myh...@li... > > https://lists.sourceforge.net/lists/listinfo/myhdl-list > ------------------------------------------------------------------------------ > Create and publish websites with WebMatrix > Use the most popular FREE web apps or write code yourself; > WebMatrix provides all the features you need to develop and > publish your website. http://p.sf.net/sfu/ms-webmatrix-sf > _______________________________________________ > myhdl-list mailing list > myh...@li... > https://lists.sourceforge.net/lists/listinfo/myhdl-list |
From: Christopher F. <chr...@gm...> - 2011-04-03 19:24:42
|
Gtkwave analog plot of fixed-point value. ** sent from mobile device ** On Apr 3, 2011 1:29 PM, "Kevin Stanton" <sta...@gm...> wrote: > That poster looks great! > > I'm curious what waveform viewer is being shown in the middle in black and white? Is it gtkwave? I wasn't aware that it would allow plotting of an analog waveform (or is it an analog plot of a fixedpoint signal?) > > > On Apr 1, 2011, at 6:44 PM, Christopher Felton <chr...@gm...> wrote: > >> I was probably the last person in the world that should have attempt to generate a poster, but I did. If you think it is usable feel free to use it. >> >> If anyone has suggestions I can try and incorporate them or send the source. We could create a wiki page for camp prep :) >> >> Chris Felton >> >> On 4/1/11 11:07 AM, Christopher Lozinski wrote: >>> I have been reading the myhdl.org website. It is superbly done. >>> Very carefully written. Looks great. It is not even his native >>> language, but I could not tell. It makes me expect the software will be >>> as carefully done. It looks like a labor of love. >>> >>> My perspective on this is that it is an obvious way to rapidly >>> design and thoroughly test new hardware designs. But somehow the rest of >>> the world does not see it this way. It needs some marketing push. >>> >>> I think the most important thing for the trade show is the flyer. >>> Let everyone get a page of material to take home, they can read it >>> later, download the software, and read the website. There is great >>> content on >>> http://myhdl.org/doku.php/start >>> >>> and >>> http://myhdl.org/doku.php/why >>> >>> The next most important thing is a sign. I think it is easy to print up >>> a big black and white sign across multiple sheets of paper >>> >>> MyHDL = Python -> FPGA >>> >>> Then I can scotch tape them together, and put them up on the wall. >>> >>> But it is always good to make money off things. It means it is >>> alive. What do people need to get started. Well the best thing would >>> be a class. Go to the show, get a flyer, go home read it look at the >>> web site, go sign up for a class. I read that some of these design >>> tools cost $100,000 for 1 seat for a year. Amazing. Surely they could >>> spring $1,000 for a class. Does anyone want to teach a class in this >>> stuff. We could say 5 student minimum. >>> >>> As for python classes, I am glad to see there is now a data >>> structure class. That is a step in the right direction. There was >>> recently a discussion on floating point classes. The hardware engineers >>> said it is too inefficient, just define the range for your application. >>> The software engineers like myself, say just give us the floating point >>> classes. I do not know the ranges. Some of the FPGA devices have >>> floating point multipliers. How do I talk to them? By writing my own >>> class. Ridiculous. Give me the class please. >>> >>> A rich class library is a sign of a mature python library. They >>> talk about ip cores in this industry. Yes I would like python designs >>> for ethernet interface, usb interface, etc. And certainly for floating >>> point, and for a whole bunch of other stuff. >>> >>> Thank you for the offer of the hardware demo. I have not sent >>> Christopher Felton my mailing address. I think that demo targets the >>> wrong market. It uses a FPGA to generate some sounds. I think it >>> targets the hobbyist market. The money is in the major corporations. >>> What they want to do is minimize their risk. They want to see large >>> complex designs that have been produced with this tool suite. They want >>> us to argue that python allows for complex test suites, further reducing >>> their risks. >>> >>> So does anyone have some complex designs, preferably that went to >>> silicon that we can show and simulate? Is anyone interested in offering >>> a MyHDL class? >>> >> >> <myhdl_fpgacamp.pdf> >> ------------------------------------------------------------------------------ >> Create and publish websites with WebMatrix >> Use the most popular FREE web apps or write code yourself; >> WebMatrix provides all the features you need to develop and >> publish your website. http://p.sf.net/sfu/ms-webmatrix-sf >> _______________________________________________ >> myhdl-list mailing list >> myh...@li... >> https://lists.sourceforge.net/lists/listinfo/myhdl-list > > > ------------------------------------------------------------------------------ > Create and publish websites with WebMatrix > Use the most popular FREE web apps or write code yourself; > WebMatrix provides all the features you need to develop and > publish your website. http://p.sf.net/sfu/ms-webmatrix-sf > _______________________________________________ > myhdl-list mailing list > myh...@li... > https://lists.sourceforge.net/lists/listinfo/myhdl-list |
From: Kevin S. <sta...@gm...> - 2011-04-03 18:28:54
|
That poster looks great! I'm curious what waveform viewer is being shown in the middle in black and white? Is it gtkwave? I wasn't aware that it would allow plotting of an analog waveform (or is it an analog plot of a fixedpoint signal?) On Apr 1, 2011, at 6:44 PM, Christopher Felton <chr...@gm...> wrote: > I was probably the last person in the world that should have attempt to generate a poster, but I did. If you think it is usable feel free to use it. > > If anyone has suggestions I can try and incorporate them or send the source. We could create a wiki page for camp prep :) > > Chris Felton > > On 4/1/11 11:07 AM, Christopher Lozinski wrote: >> I have been reading the myhdl.org website. It is superbly done. >> Very carefully written. Looks great. It is not even his native >> language, but I could not tell. It makes me expect the software will be >> as carefully done. It looks like a labor of love. >> >> My perspective on this is that it is an obvious way to rapidly >> design and thoroughly test new hardware designs. But somehow the rest of >> the world does not see it this way. It needs some marketing push. >> >> I think the most important thing for the trade show is the flyer. >> Let everyone get a page of material to take home, they can read it >> later, download the software, and read the website. There is great >> content on >> http://myhdl.org/doku.php/start >> >> and >> http://myhdl.org/doku.php/why >> >> The next most important thing is a sign. I think it is easy to print up >> a big black and white sign across multiple sheets of paper >> >> MyHDL = Python -> FPGA >> >> Then I can scotch tape them together, and put them up on the wall. >> >> But it is always good to make money off things. It means it is >> alive. What do people need to get started. Well the best thing would >> be a class. Go to the show, get a flyer, go home read it look at the >> web site, go sign up for a class. I read that some of these design >> tools cost $100,000 for 1 seat for a year. Amazing. Surely they could >> spring $1,000 for a class. Does anyone want to teach a class in this >> stuff. We could say 5 student minimum. >> >> As for python classes, I am glad to see there is now a data >> structure class. That is a step in the right direction. There was >> recently a discussion on floating point classes. The hardware engineers >> said it is too inefficient, just define the range for your application. >> The software engineers like myself, say just give us the floating point >> classes. I do not know the ranges. Some of the FPGA devices have >> floating point multipliers. How do I talk to them? By writing my own >> class. Ridiculous. Give me the class please. >> >> A rich class library is a sign of a mature python library. They >> talk about ip cores in this industry. Yes I would like python designs >> for ethernet interface, usb interface, etc. And certainly for floating >> point, and for a whole bunch of other stuff. >> >> Thank you for the offer of the hardware demo. I have not sent >> Christopher Felton my mailing address. I think that demo targets the >> wrong market. It uses a FPGA to generate some sounds. I think it >> targets the hobbyist market. The money is in the major corporations. >> What they want to do is minimize their risk. They want to see large >> complex designs that have been produced with this tool suite. They want >> us to argue that python allows for complex test suites, further reducing >> their risks. >> >> So does anyone have some complex designs, preferably that went to >> silicon that we can show and simulate? Is anyone interested in offering >> a MyHDL class? >> > > <myhdl_fpgacamp.pdf> > ------------------------------------------------------------------------------ > Create and publish websites with WebMatrix > Use the most popular FREE web apps or write code yourself; > WebMatrix provides all the features you need to develop and > publish your website. http://p.sf.net/sfu/ms-webmatrix-sf > _______________________________________________ > myhdl-list mailing list > myh...@li... > https://lists.sourceforge.net/lists/listinfo/myhdl-list |
From: Jan D. <ja...@ja...> - 2011-04-03 11:03:07
|
Jan: You struggle with the difference between elaboration and simulation. All code outside generators is elaborated once by the Python interpreter. All code inside generators is alive during simulation. In particular, logical operators on Signals during elaboration don't magically create something that tracks value changes throughout simulation. Also, a structural view is not always the best choice (although elaborated code can be as complex as required without jeopardizing convertibility.) Perhaps you should consider all this shadow signal business as "advanced usage" and first understand what you can do with good old RTL style code. For example, the following code passes your tests, converts, and is synthesisable. (Expressing the don't care conditions which are probably there is not possible in this way however.) def encodeHotBit(iv, ov, Width=4): @always_comb def logic(): ov.next = 0 # avoid state for i in range(len(iv)): if iv[i] == 1: ov.next = i return logic Jan On 04/03/2011 12:04 PM, Jan Coombs wrote: > #!/usr/bin/env python > > ''' progress > #04 asked for help > #03 generates VHDL, cleaner, but problem unchanged > #02 compiles, but each o bit is iv(15)!!! > #01 tried using lists - oops > ''' > from myhdl import * > > > def encodeHotBit(iv, ov, Width=4): > olt = [Signal(bool(False)) for i in range(Width)] > for j in range(Width): > for i in range(2**Width): > if (i%(2**(j+1)))>= (2**j): > olt[j] = olt[j] or iv(i) > oc = ConcatSignal(*reversed(olt)) > @always_comb > def assign(): > ov.next = oc > return assign > > > Width=4 > iv = Signal(intbv(0)[Width**2:]) > ov = Signal(intbv(0)[Width:]) > > toVerilog(encodeHotBit, iv, ov, Width) > toVHDL(encodeHotBit, iv, ov, Width) > > # set up a test bench > #from random import randrange > def tb(): > dut = encodeHotBit(iv, ov, Width) > @instance > def check(): > yield delay(10) > for i in range(16): > iv.next = 2**i > yield delay(10) > print i, iv, ov > #assert 2**ov == iv > return dut, check > > # the entry point for the py.test unit test framework > def test_ehb(): > sim = Simulation(tb()) > sim.run() > > test_ehb() > -- Jan Decaluwe - Resources bvba - http://www.jandecaluwe.com Python as a HDL: http://www.myhdl.org VHDL development, the modern way: http://www.sigasi.com Analog design automation: http://www.mephisto-da.com World-class digital design: http://www.easics.com |
From: Jan C. <jan...@mu...> - 2011-04-03 10:04:59
|
I wanted to publish the attached code, but have newbie problems. Only the first and last test cases are correct and I can't see why :( Jan Coombs |
From: Christopher L. <loz...@fr...> - 2011-04-02 19:02:07
|
> As for training, the biggest issue is probably that > there is no ready-to-use material yet. I totally agree. Chris Felton has a hardware project that generates a sound that we could use as the basis for the project. I think a number of us could try to duplicate it, edit up the training materials in some shared environment, like Google Docs, and before you know it we would have a training class. > And before that, > the first issue is probably to determine what public we > would be targetting and what kind of prelimary knowledge > we should/can assume. I think we should target the mass market of python developers who want to tinker with FPGA's. People like me. For us, meaning experienced python developers, it is obvious this is the way to go. Trying to sell a hardware engineer on dynamic binding is going against the flow. Chris Felton recommends that we do a distributed class. We would like you Jan to do a general presentation on the software and theory, and then dive into hands on application. I think we should be done in a day. I think the Silicon Valley standard is do it on a weekend. Better yet, you might want to do a video presentation one Saturday, people can read the web site during the week, and then come back the following Saturday for a class room class, where the "experts" look over your shoulder to help you. Next question can we use the content from the website for the flyers? Since the back side of the flyer will sell the class, this is commercial use, and needs your approval. And would you be willing to introduce the class? How many hours of presentation would you like to do? Is there anyone else who would like to do a presentation on this material for the class? Who else is interested in building the hardware demo, and editing up the google docs presentation? -- Regards Christopher Lozinski Check out my iPhone apps TextFaster and EmailFaster http://textfaster.com |
From: Jan D. <ja...@ja...> - 2011-04-02 17:49:32
|
On 04/02/2011 06:53 PM, Jan Coombs wrote: > On 02/04/11 14:45, Christopher Felton wrote: >> I was curious if something was submitted to rosettacode? Jan D. version >> could be submitted. > > I wrote to Mauro Panigada, who set this challenge, asking for > guidance as to what to post. Although I was not surprised that he > wanted my school-homework style solution, I intended to clean it up > first. Perhaps I should just post both. I read that he doesn't agree to give MyHDL it own category. That would mean to miss the point completely, and I recommend not to send the code until he reconsiders. The fact that MyHDL is "pure Python" is a (hopefully clever) design choice. It doesn't mean that someone with only Python background and no hardware experience will understand the essence of what is going on. Unless we get our own category, I fear we will not get the message accross, and we are wasting efforts. Jan -- Jan Decaluwe - Resources bvba - http://www.jandecaluwe.com Python as a HDL: http://www.myhdl.org VHDL development, the modern way: http://www.sigasi.com Analog design automation: http://www.mephisto-da.com World-class digital design: http://www.easics.com |
From: Jan C. <jan...@mu...> - 2011-04-02 16:54:27
|
On 02/04/11 14:45, Christopher Felton wrote: > I was curious if something was submitted to rosettacode? Jan D. version > could be submitted. I wrote to Mauro Panigada, who set this challenge, asking for guidance as to what to post. Although I was not surprised that he wanted my school-homework style solution, I intended to clean it up first. Perhaps I should just post both. Jan Coombs -- Mauro Panigada wrote: I think it is very interesting, I will take a deeper look in my spare time! Quickly viewing the attached sources, it seems to me your solution meets task requirements; post your own solution on RC, if you've not done so already, this is my only advice:) About giving MyHDL its own category, I disagree: after all, it is Python exploiting Python's capabilities, packages and so on. So I believe it is enough to use the libheader "tag" (or similar, but if I remember well RC still does not distinguish among libraries in the "C meaning" and libraries/packages/modules/whatever in "other language meaning"). However, comments page on RC are also to discuss this kind of topic, and there are a lot of persons there that likely would disagree with me. Thanks you for having brought to my attention MyHDL! It's that sort of thing I like a lot (though currently I've drifted mainly to musical composition in my spare time, just to break with programming a bit --- but hardware design is still in my mind :D) Have a good day (here in Italy it's 7:30 AM) On Mon, Mar 21, 2011 at 11:48 PM, Jan <jan...@mu...> wrote: > > hi Mauro, > > > > I have tackled this problem using MyHDL (myhdl.org), a python library > > which is designed for hardware simulation. > > > > Attached is my very structured solution, also the solution of the author of > > MyHDL. I'm not sure which approach meets your illustrative goal better, and > > would like advice. > > > > MyHDL meets a number of your mentioned future goals, being able to > > represent clocks and latches, and is also capable of exporting VHDL or > > Verilog for real hardware design. > > > > Because of the capabilities of MyHDL, I think that it should have a > > separate language category in RC, rather than being combined with python, > > what do you think? > > > > Kind regards, Jan Coombs. > > > > |
From: Christopher F. <chr...@gm...> - 2011-04-02 16:23:52
|
On 4/2/11 10:54 AM, Christopher Lozinski wrote: > vikash from fpga central, who is organizing the conference, said: > >> We are expecting 300 people (400 signed up). I would think 1 for 5 is a good >> number, or 1 for 4. The key is being there to explain to people what it is about. > This is pretty exciting, I didn't know anything about these FPGA Camps but it sounds like Vikash has done a good job organizing these. > I am able to explain the basics, but I am sure I will get questions that > are beyond me. > I have an iPod Touch, and a Mac Mini, both with Skype. My mac has a > camera. Would someone, or maybe two people be willing to provide a > tele-presence. You could be on skype to answer questions. > > I could do a speaker and microphone. I do not have either. But I worry > about feedback whine. Maybe easier to just do a headset. What do you > think? Unfortunately, Wed. is horrible for me, I have a bunch of meetings I can not get out of and I am giving a talk at a local University in later afternoon (CST). I will not be available to answer questions in real-time. > > I am just totally impressed by how this internet cooperation works. > Someone provides a booth, someone provides the idea, someone else > provides a poster. We now have a flyer, even better as I slept it > appeared. There is an offer of money on the table for printing. WOW. > The suggestion of the distributed class is great. The constraints on > doing a class were great. Just amazing how this all works. My opinion a 2-4 hour distributed workshop would be ideal and recorded (later posted on the Python vid tutorial side?). Maybe it would work that Jan D. could give an introduction about the overlying methodology and info on MyHDL. And then ... I agree, there's a lot of logistics to figure out. It might be best just to get an interest in such an event and create a mailing list. Maybe target late August (as mentioned, I will have limited time to help as well). > > I love the idea of the distributed class. I am not sure technically how > to do it. Can't be that hard. We would have to shift the hours a bit > for Europe and the US. All we need is someone to choose a board, and > implement a demo, and provide some slides. Then an experienced > MyHDL/python guy could show up at each facility, to help locally. > Super. Let us do it. Best for the customers as well. I have a board and demo (the same I would have sent you) that I can provide. I will post (at some point) information on the wiki and a "walk through". We can work through these as time progresses. I would suggest simply gathering names of interested parties for a class/workshop at this point. > > And of course traffic on this mailing list has gone up. Which is a good > thing. > |
From: Christopher L. <loz...@fr...> - 2011-04-02 16:18:15
|
I think version 3 which has the list is the one you like better. That is also my preference. I will drop by fed ex, to find out the details of their printing service. Regards Chris > > ------------------------------------------------------------------------------ > Create and publish websites with WebMatrix > Use the most popular FREE web apps or write code yourself; > WebMatrix provides all the features you need to develop and > publish your website. http://p.sf.net/sfu/ms-webmatrix-sf > _______________________________________________ > myhdl-list mailing list > myh...@li... > https://lists.sourceforge.net/lists/listinfo/myhdl-list -- Regards Christopher Lozinski Check out my iPhone apps TextFaster and EmailFaster http://textfaster.com |
From: Christopher F. <chr...@gm...> - 2011-04-02 16:12:08
|
There are two versions of the poster, both available on this page, http://www.myhdl.org/doku.php/users:cfelton:fpgacamp:fpga_camp. The graphs in the poster are all plots created with MyHDL simulations. Each would have a story behind it but as mentioned they are either the digital waveforms or higher composite signals. Some plots are taken from the user projects and some are from MyHDL design/sims that I have. I have one GTKWave screenshot and the rest, I believe, are sim outputs plotted with matplotlib (mpl). The larger center image is the layout of Jan D. IC that he and others developed. .chris On 4/1/11 11:22 PM, Christopher Lozinski wrote: > Conference is sold out, but if you volunteer to help run the booth, I > can get you a ticket. > > Chris Felton made up a gorgeous poster. > http://www.myhdl.org/lib/exe/fetch.php/users:cfelton:projects:myhdl_fpgacamp.pdf > > I gave him my recommendations on text changes, so it makes more sense to > a newbie like myself. I expect he will explain to me what all the > graphs are. I am continuing to get educated. > > And we will do a two page flyer to hand out. The font side will come > from the Why MyHDL page. > > http://www.myhdl.org/doku.php/why > > Would anyone like to shrink that down to one page for us. I am > completely shameless about asking for help from an open source > community. I am delighted by what has come forth so far. Really > overwhelmed. > > Then Chris Felton has offered to do a one day class for python > developers to do the blinking light fpga project. Think Arduino. He > can do in in MN. Can anyone do it in Silicon Valley? Maybe after I > take it in his home town, I could do one in Silicon Valley. Can anyone > offer such a class in Europe? We may not get demand for it there, but I > would like to offer it. > > So the back of the flyer will talk about the class. Would anyone like > to make up such a one page. Open Office is best. > > We can print the poster over at Fed Ex > http://fedex.com/us/office/marketing/signsbanners/posters/packages.html > > 36" x 48" - 129.99 > > I wish I had a place to leave the poster after the conference. It would > be great for future use! On the way back I am going camping down in Big > Sur. Not good for posters! > > Anyone else want to spring for the poster? Or part of it. And for > printing the flyers? I intend to print it at a fed ex next to the > conference, so that it does not get damaged in transit. > > I am so excited. This has been a really wonderful experience. Because > of my efforts, Chris and I had a long talk, I got a huge education, > which is why I am doing this. I think the poster is brilliant, just > what is needed. I think that the Why MyHDL web page is perfect for a > flyer. It has all been such a pleasure. > > Now all I need is a Floating Point class. Maybe I will write that! > Evidently the hard part is exporting it correctly. > |
From: Christopher L. <loz...@fr...> - 2011-04-02 15:54:42
|
vikash from fpga central, who is organizing the conference, said: >We are expecting 300 people (400 signed up). I would think 1 for 5 is a good >number, or 1 for 4. The key is being there to explain to people what it is about. I am able to explain the basics, but I am sure I will get questions that are beyond me. I have an iPod Touch, and a Mac Mini, both with Skype. My mac has a camera. Would someone, or maybe two people be willing to provide a tele-presence. You could be on skype to answer questions. I could do a speaker and microphone. I do not have either. But I worry about feedback whine. Maybe easier to just do a headset. What do you think? I am just totally impressed by how this internet cooperation works. Someone provides a booth, someone provides the idea, someone else provides a poster. We now have a flyer, even better as I slept it appeared. There is an offer of money on the table for printing. WOW. The suggestion of the distributed class is great. The constraints on doing a class were great. Just amazing how this all works. I love the idea of the distributed class. I am not sure technically how to do it. Can't be that hard. We would have to shift the hours a bit for Europe and the US. All we need is someone to choose a board, and implement a demo, and provide some slides. Then an experienced MyHDL/python guy could show up at each facility, to help locally. Super. Let us do it. Best for the customers as well. And of course traffic on this mailing list has gone up. Which is a good thing. -- Regards Christopher Lozinski Check out my iPhone apps TextFaster and EmailFaster http://textfaster.com |
From: Jan D. <ja...@ja...> - 2011-04-02 15:01:05
|
Christopher Lozinski asked about the commercial support I offer on myhdl.org, in particular about training classes. Hereby some background. I am working as an independent consultant in electronics and EDA. For design projects, some role for MyHDL is a preliminary condition. This is a good way to fix bugs and drive MyHDL itself, but a disadvantage is of course that I focus mainly on the things that I need myself :-) I'm doing such a project (a very interesting one) right now. In honesty, these opportunities exist because I have good relations with a design services company (Easics) that I co-founded myself. As for MyHDL consulting or training - I have not yet had requests yet. But I am available at a reasonable consulting rate (except that it is in Euro's :-)). As for training, the biggest issue is probably that there is no ready-to-use material yet. And before that, the first issue is probably to determine what public we would be targetting and what kind of prelimary knowledge we should/can assume. I have some experience with teaching classes, but it is very limited and old - almost 20 years ago, about using VHDL for RTL synthesis. Compared to other training material that I have seen, it was much more "philosophical" and less "hands-on". Not necessarily the type of class that down-to-earth Silicon Valley folk would find valuable :-) In short: I think that defining and preparing a good and meaningful class is the bottleneck, taking into account a good insight in the target public. This will require a significant amount of time and effort from someone - and I'm not necessarily the best-placed person for it. Jan -- Jan Decaluwe - Resources bvba - http://www.jandecaluwe.com Python as a HDL: http://www.myhdl.org VHDL development, the modern way: http://www.sigasi.com Analog design automation: http://www.mephisto-da.com World-class digital design: http://www.easics.com |
From: Christopher F. <chr...@gm...> - 2011-04-02 13:45:50
|
On 3/21/11 6:34 PM, Jan Coombs wrote: > On 21/03/11 19:10, Jan Decaluwe wrote: >> I couldn't resist to post my take on this. . . . > Thanks, much appreciated. I had started to take on board Chris and > your comments, but still have much to learn. As to what to post on > rosettacode I have asked for guidance from the problem proposer. > > I was curious if something was submitted to rosettacode? Jan D. version could be submitted. Chris Felton |
From: Christopher L. <loz...@fr...> - 2011-04-02 04:22:12
|
Conference is sold out, but if you volunteer to help run the booth, I can get you a ticket. Chris Felton made up a gorgeous poster. http://www.myhdl.org/lib/exe/fetch.php/users:cfelton:projects:myhdl_fpgacamp.pdf I gave him my recommendations on text changes, so it makes more sense to a newbie like myself. I expect he will explain to me what all the graphs are. I am continuing to get educated. And we will do a two page flyer to hand out. The font side will come from the Why MyHDL page. http://www.myhdl.org/doku.php/why Would anyone like to shrink that down to one page for us. I am completely shameless about asking for help from an open source community. I am delighted by what has come forth so far. Really overwhelmed. Then Chris Felton has offered to do a one day class for python developers to do the blinking light fpga project. Think Arduino. He can do in in MN. Can anyone do it in Silicon Valley? Maybe after I take it in his home town, I could do one in Silicon Valley. Can anyone offer such a class in Europe? We may not get demand for it there, but I would like to offer it. So the back of the flyer will talk about the class. Would anyone like to make up such a one page. Open Office is best. We can print the poster over at Fed Ex http://fedex.com/us/office/marketing/signsbanners/posters/packages.html 36" x 48" - 129.99 I wish I had a place to leave the poster after the conference. It would be great for future use! On the way back I am going camping down in Big Sur. Not good for posters! Anyone else want to spring for the poster? Or part of it. And for printing the flyers? I intend to print it at a fed ex next to the conference, so that it does not get damaged in transit. I am so excited. This has been a really wonderful experience. Because of my efforts, Chris and I had a long talk, I got a huge education, which is why I am doing this. I think the poster is brilliant, just what is needed. I think that the Why MyHDL web page is perfect for a flyer. It has all been such a pleasure. Now all I need is a Floating Point class. Maybe I will write that! Evidently the hard part is exporting it correctly. -- Regards Christopher Lozinski Check out my iPhone apps TextFaster and EmailFaster http://textfaster.com |
From: Christopher F. <chr...@gm...> - 2011-04-01 23:48:39
|
I was probably the last person in the world that should have attempt to generate a poster, but I did. If you think it is usable feel free to use it. If anyone has suggestions I can try and incorporate them or send the source. We could create a wiki page for camp prep :) Chris Felton On 4/1/11 11:07 AM, Christopher Lozinski wrote: > I have been reading the myhdl.org website. It is superbly done. > Very carefully written. Looks great. It is not even his native > language, but I could not tell. It makes me expect the software will be > as carefully done. It looks like a labor of love. > > My perspective on this is that it is an obvious way to rapidly > design and thoroughly test new hardware designs. But somehow the rest of > the world does not see it this way. It needs some marketing push. > > I think the most important thing for the trade show is the flyer. > Let everyone get a page of material to take home, they can read it > later, download the software, and read the website. There is great > content on > http://myhdl.org/doku.php/start > > and > http://myhdl.org/doku.php/why > > The next most important thing is a sign. I think it is easy to print up > a big black and white sign across multiple sheets of paper > > MyHDL = Python -> FPGA > > Then I can scotch tape them together, and put them up on the wall. > > But it is always good to make money off things. It means it is > alive. What do people need to get started. Well the best thing would > be a class. Go to the show, get a flyer, go home read it look at the > web site, go sign up for a class. I read that some of these design > tools cost $100,000 for 1 seat for a year. Amazing. Surely they could > spring $1,000 for a class. Does anyone want to teach a class in this > stuff. We could say 5 student minimum. > > As for python classes, I am glad to see there is now a data > structure class. That is a step in the right direction. There was > recently a discussion on floating point classes. The hardware engineers > said it is too inefficient, just define the range for your application. > The software engineers like myself, say just give us the floating point > classes. I do not know the ranges. Some of the FPGA devices have > floating point multipliers. How do I talk to them? By writing my own > class. Ridiculous. Give me the class please. > > A rich class library is a sign of a mature python library. They > talk about ip cores in this industry. Yes I would like python designs > for ethernet interface, usb interface, etc. And certainly for floating > point, and for a whole bunch of other stuff. > > Thank you for the offer of the hardware demo. I have not sent > Christopher Felton my mailing address. I think that demo targets the > wrong market. It uses a FPGA to generate some sounds. I think it > targets the hobbyist market. The money is in the major corporations. > What they want to do is minimize their risk. They want to see large > complex designs that have been produced with this tool suite. They want > us to argue that python allows for complex test suites, further reducing > their risks. > > So does anyone have some complex designs, preferably that went to > silicon that we can show and simulate? Is anyone interested in offering > a MyHDL class? > |
From: Christopher L. <loz...@fr...> - 2011-04-01 16:07:55
|
I have been reading the myhdl.org website. It is superbly done. Very carefully written. Looks great. It is not even his native language, but I could not tell. It makes me expect the software will be as carefully done. It looks like a labor of love. My perspective on this is that it is an obvious way to rapidly design and thoroughly test new hardware designs. But somehow the rest of the world does not see it this way. It needs some marketing push. I think the most important thing for the trade show is the flyer. Let everyone get a page of material to take home, they can read it later, download the software, and read the website. There is great content on http://myhdl.org/doku.php/start and http://myhdl.org/doku.php/why The next most important thing is a sign. I think it is easy to print up a big black and white sign across multiple sheets of paper MyHDL = Python -> FPGA Then I can scotch tape them together, and put them up on the wall. But it is always good to make money off things. It means it is alive. What do people need to get started. Well the best thing would be a class. Go to the show, get a flyer, go home read it look at the web site, go sign up for a class. I read that some of these design tools cost $100,000 for 1 seat for a year. Amazing. Surely they could spring $1,000 for a class. Does anyone want to teach a class in this stuff. We could say 5 student minimum. As for python classes, I am glad to see there is now a data structure class. That is a step in the right direction. There was recently a discussion on floating point classes. The hardware engineers said it is too inefficient, just define the range for your application. The software engineers like myself, say just give us the floating point classes. I do not know the ranges. Some of the FPGA devices have floating point multipliers. How do I talk to them? By writing my own class. Ridiculous. Give me the class please. A rich class library is a sign of a mature python library. They talk about ip cores in this industry. Yes I would like python designs for ethernet interface, usb interface, etc. And certainly for floating point, and for a whole bunch of other stuff. Thank you for the offer of the hardware demo. I have not sent Christopher Felton my mailing address. I think that demo targets the wrong market. It uses a FPGA to generate some sounds. I think it targets the hobbyist market. The money is in the major corporations. What they want to do is minimize their risk. They want to see large complex designs that have been produced with this tool suite. They want us to argue that python allows for complex test suites, further reducing their risks. So does anyone have some complex designs, preferably that went to silicon that we can show and simulate? Is anyone interested in offering a MyHDL class? -- Regards Christopher Lozinski Check out my iPhone apps TextFaster and EmailFaster http://textfaster.com |
From: David R. <dav...@gm...> - 2011-03-31 18:05:11
|
Hi All, I am a spectator in this distribution list. I have been for a while, although this is the first time I send an e-mail around. Christopher, I admire your determination and attitude. I just want to wish you good luck...and please tell us how everything went. Regards, David Rodriguez On Thu, Mar 31, 2011 at 6:18 PM, Christopher Felton <chr...@gm...>wrote: > > > On Thu, Mar 31, 2011 at 11:43 AM, Christopher Lozinski < > loz...@fr...> wrote: > >> Chris Felton made the following offer. >> >> I can provide a simple reference design and some hardware (if you promise to >> return it). So that you have something to demonstrate. It would not be >> anything too fancy, but it could show a MyHDL -> FPGA flow. I doubt I would >> be able to travel for this "camp". >> >> >> I accept. Two conditions. One is you need to walk me through loading >> the software tools and running your demo. It is so much faster to get a hand >> getting up to speed. I know I need to load pythona and myHDL. What other >> software do I need to load? Will it run on my Mac Mini? Some of these >> tools are just on Linux and Windows. >> > > Will you be available this weekend? What is your favorite voice/screen > share tool? Yes, I can walk you through any setup that might be required. > > You will only need Python (+python libs) unless you want to demonstrate > running the design through the FPGA tools, I don't think that would > be necessary. If you want, install numpy+scipy+matplotlib. This way you > can show some design plots. Also, install Icarus Verilog or Cver to > demonstrate cosimulation (I guess more than python). All these are easy to > install on the mac. If you use MacPorts simply type $port install iverilog, > cver, py27-numpy, py27-scipy, py27-matplotlib (can also use Python26 > py26-*). Note, MacPorts will install a different Python than the one that > ships with the Macs. > > I will send more information for the demo. I thinking, what you can demo > on the PC side, is Simulation and Co-Simulating the design, creating pretty > plots, etc. The board will simply be running the design. The board is a > USB-FPGA board with audio in/out. If you have a mic&speakers the design > will do some simple audio effect. Given time constraints the audio effect > will be something pretty simply, like an echo. To power the board you only > need USB. Theoretically we could interface with the board/design through > the USB but I think that will be pushing it. > >> >> As for returning the device. I am paranoid about physical security. >> I have a lock on my mac mini. When I am not in the booth I will carry your >> device with me in my backback. If it does get stolen I will split the >> replacement cost with you. I will mail it back to you within a week of the >> end of the conference. Is that good enough? >> >> What are your plans if you do not get a volunteer? >> >> Yes, no rush returning the board, 1 week is fine. I will have to > overnight it today (or tomorrow morning) to make sure it gets there by next > Wednesday? I will try and get it delivered to you by Saturday morning. > Otherwise we will need to go over the setup on Monday night. > >> Great question. If you give me a demo, I am good to go. My story is the >> stuff works, here is the demo, I am new to it. My job is not to argue with >> people, it is to invite people who see the world the same way to join. >> Jim Newton who runs tech shop http://techshop.ws understands this stuff >> so well. Just be open, and a little will flow in from every person. I >> think most of the people on this list would like to support marketing and >> growth of MyHDL. Let me show up with a booth, and other people will >> contribute. We need volunteers, signs, flyers, business cards, everything >> else. Anything you can contribute would be most appreciated. Anyone want >> to write a flyer? Anyone want to pay for copying it? How do you want to >> support the growth of the open source MyHDL community? >> > >> So I will send an email requesting a booth. Thank you for the support. >> > > This sounds good! Thanks for volunteering to set this up. > > Chris Felton > > >> >> -- >> Regards >> Christopher Lozinski >> >> Check out my iPhone apps TextFaster and EmailFasterhttp://textfaster.com >> >> >> >> ------------------------------------------------------------------------------ >> Create and publish websites with WebMatrix >> Use the most popular FREE web apps or write code yourself; >> WebMatrix provides all the features you need to develop and >> publish your website. http://p.sf.net/sfu/ms-webmatrix-sf >> >> >> _______________________________________________ >> myhdl-list mailing lis...@li...https://lists.sourceforge.net/lists/listinfo/myhdl-list >> >> >> >> -- >> Regards >> Christopher Lozinski >> >> Check out my iPhone apps TextFaster and EmailFasterhttp://textfaster.com >> >> >> >> ------------------------------------------------------------------------------ >> Create and publish websites with WebMatrix >> Use the most popular FREE web apps or write code yourself; >> WebMatrix provides all the features you need to develop and >> publish your website. http://p.sf.net/sfu/ms-webmatrix-sf >> >> _______________________________________________ >> myhdl-list mailing list >> myh...@li... >> https://lists.sourceforge.net/lists/listinfo/myhdl-list >> >> > > > ------------------------------------------------------------------------------ > Create and publish websites with WebMatrix > Use the most popular FREE web apps or write code yourself; > WebMatrix provides all the features you need to develop and > publish your website. http://p.sf.net/sfu/ms-webmatrix-sf > > _______________________________________________ > myhdl-list mailing list > myh...@li... > https://lists.sourceforge.net/lists/listinfo/myhdl-list > > -- David Rodríguez Martin Cambridge,UK |
From: Christopher F. <chr...@gm...> - 2011-03-31 17:18:38
|
On Thu, Mar 31, 2011 at 11:43 AM, Christopher Lozinski < loz...@fr...> wrote: > Chris Felton made the following offer. > > I can provide a simple reference design and some hardware (if you promise to > return it). So that you have something to demonstrate. It would not be > anything too fancy, but it could show a MyHDL -> FPGA flow. I doubt I would > be able to travel for this "camp". > > > I accept. Two conditions. One is you need to walk me through loading > the software tools and running your demo. It is so much faster to get a hand > getting up to speed. I know I need to load pythona and myHDL. What other > software do I need to load? Will it run on my Mac Mini? Some of these > tools are just on Linux and Windows. > Will you be available this weekend? What is your favorite voice/screen share tool? Yes, I can walk you through any setup that might be required. You will only need Python (+python libs) unless you want to demonstrate running the design through the FPGA tools, I don't think that would be necessary. If you want, install numpy+scipy+matplotlib. This way you can show some design plots. Also, install Icarus Verilog or Cver to demonstrate cosimulation (I guess more than python). All these are easy to install on the mac. If you use MacPorts simply type $port install iverilog, cver, py27-numpy, py27-scipy, py27-matplotlib (can also use Python26 py26-*). Note, MacPorts will install a different Python than the one that ships with the Macs. I will send more information for the demo. I thinking, what you can demo on the PC side, is Simulation and Co-Simulating the design, creating pretty plots, etc. The board will simply be running the design. The board is a USB-FPGA board with audio in/out. If you have a mic&speakers the design will do some simple audio effect. Given time constraints the audio effect will be something pretty simply, like an echo. To power the board you only need USB. Theoretically we could interface with the board/design through the USB but I think that will be pushing it. > > As for returning the device. I am paranoid about physical security. I > have a lock on my mac mini. When I am not in the booth I will carry your > device with me in my backback. If it does get stolen I will split the > replacement cost with you. I will mail it back to you within a week of the > end of the conference. Is that good enough? > > What are your plans if you do not get a volunteer? > > Yes, no rush returning the board, 1 week is fine. I will have to overnight it today (or tomorrow morning) to make sure it gets there by next Wednesday? I will try and get it delivered to you by Saturday morning. Otherwise we will need to go over the setup on Monday night. > Great question. If you give me a demo, I am good to go. My story is the > stuff works, here is the demo, I am new to it. My job is not to argue with > people, it is to invite people who see the world the same way to join. > Jim Newton who runs tech shop http://techshop.ws understands this stuff so > well. Just be open, and a little will flow in from every person. I think > most of the people on this list would like to support marketing and growth > of MyHDL. Let me show up with a booth, and other people will contribute. > We need volunteers, signs, flyers, business cards, everything else. > Anything you can contribute would be most appreciated. Anyone want to > write a flyer? Anyone want to pay for copying it? How do you want to > support the growth of the open source MyHDL community? > > So I will send an email requesting a booth. Thank you for the support. > This sounds good! Thanks for volunteering to set this up. Chris Felton > > -- > Regards > Christopher Lozinski > > Check out my iPhone apps TextFaster and EmailFasterhttp://textfaster.com > > > > ------------------------------------------------------------------------------ > Create and publish websites with WebMatrix > Use the most popular FREE web apps or write code yourself; > WebMatrix provides all the features you need to develop and > publish your website. http://p.sf.net/sfu/ms-webmatrix-sf > > > _______________________________________________ > myhdl-list mailing lis...@li...https://lists.sourceforge.net/lists/listinfo/myhdl-list > > > > -- > Regards > Christopher Lozinski > > Check out my iPhone apps TextFaster and EmailFasterhttp://textfaster.com > > > > ------------------------------------------------------------------------------ > Create and publish websites with WebMatrix > Use the most popular FREE web apps or write code yourself; > WebMatrix provides all the features you need to develop and > publish your website. http://p.sf.net/sfu/ms-webmatrix-sf > > _______________________________________________ > myhdl-list mailing list > myh...@li... > https://lists.sourceforge.net/lists/listinfo/myhdl-list > > |
From: Christopher L. <loz...@fr...> - 2011-03-31 16:44:00
|
Chris Felton made the following offer. > I can provide a simple reference design and some hardware (if you promise to > return it). So that you have something to demonstrate. It would not be > anything too fancy, but it could show a MyHDL -> FPGA flow. I doubt I would > be able to travel for this "camp". > I accept. Two conditions. One is you need to walk me through loading the software tools and running your demo. It is so much faster to get a hand getting up to speed. I know I need to load pythona and myHDL. What other software do I need to load? Will it run on my Mac Mini? Some of these tools are just on Linux and Windows. As for returning the device. I am paranoid about physical security. I have a lock on my mac mini. When I am not in the booth I will carry your device with me in my backback. If it does get stolen I will split the replacement cost with you. I will mail it back to you within a week of the end of the conference. Is that good enough? > What are your plans if you do not get a volunteer? > Great question. If you give me a demo, I am good to go. My story is the stuff works, here is the demo, I am new to it. My job is not to argue with people, it is to invite people who see the world the same way to join. Jim Newton who runs tech shop http://techshop.ws understands this stuff so well. Just be open, and a little will flow in from every person. I think most of the people on this list would like to support marketing and growth of MyHDL. Let me show up with a booth, and other people will contribute. We need volunteers, signs, flyers, business cards, everything else. Anything you can contribute would be most appreciated. Anyone want to write a flyer? Anyone want to pay for copying it? How do you want to support the growth of the open source MyHDL community? So I will send an email requesting a booth. Thank you for the support. >> -- >> Regards >> Christopher Lozinski >> >> Check out my iPhone apps TextFaster and EmailFaster >> http://textfaster.com >> >> >> > > > ------------------------------------------------------------------------------ > Create and publish websites with WebMatrix > Use the most popular FREE web apps or write code yourself; > WebMatrix provides all the features you need to develop and > publish your website. http://p.sf.net/sfu/ms-webmatrix-sf > > > _______________________________________________ > myhdl-list mailing list > myh...@li... > https://lists.sourceforge.net/lists/listinfo/myhdl-list -- Regards Christopher Lozinski Check out my iPhone apps TextFaster and EmailFaster http://textfaster.com |
From: Christopher F. <chr...@gm...> - 2011-03-31 16:22:22
|
On Thu, Mar 31, 2011 at 10:41 AM, Christopher Lozinski < loz...@sp...> wrote: > http://www.fpgacentral.com/fpgacamp > > Well I have mixed results to report. I do have one beautiful woman who > volunteered to help man the booth, but sadly she does not know anything > about FPGA. Kind of a marketing person. They are useful. > > I do not yet know enough about MyHDL to feel competent to be the senior > engineer at the booth. > > As for me, I am making progress on my design. I now know what I want to > build. And I do get MyHDL. > > I am happy to set up a booth, provide a sign, and bring my mac mini. > But I would love someone who has used the tool set to be there. > > So why am I doing this? Well it is a free conference. In these things > you get out what you put in. By helping to promote MyHDL, I will get to > meet lots of like minded people. I become someone. I can listen to > their problems, and talk about what I am doing. > > All I need is one experienced MyHDL user. The conference is only for > about 4 hours, the booths are only open for about 2 hours. It is not > much of a time commitment. It is good for all of us to grow the > community. And the more people who use these open source tools the > better. That means the more software contributions there will be to the > package and to the documentation. > > I don't think having the booth is a bad idea. But I think you are correct trying to find someone with some experience. I imagine you also might need someone ready to go to battle (well at least in a defensive stance). As Jan D. has mentioned, the FPGA world is a great application for MyHDL. I can provide a simple reference design and some hardware (if you promise to return it). So that you have something to demonstrate. It would not be anything too fancy, but it could show a MyHDL -> FPGA flow. I doubt I would be able to travel for this "camp". What are your plans if you do not get a volunteer? Chris Felton > -- > Regards > Christopher Lozinski > > Check out my iPhone apps TextFaster and EmailFaster > http://textfaster.com > > > |
From: Christopher L. <loz...@fr...> - 2011-03-31 15:51:49
|
Point well taken. Hugely appreciated. I am not clueless. I have been floating around electronics for a long time. I am a big believer in just jumping off the cliff. There is always a safe place to land. There are physical limits in these devices. How much current can flow into a wire determines how many leads can be listening on a wire. High frequency signals cross between wire, but perhaps that is not so much of a problem on these chips. I will look for a guide on constraints. Thank you greatly. As for edit permission. You are right, I do not need it yet. -- Regards Christopher Lozinski Check out my iPhone apps TextFaster and EmailFaster http://textfaster.com |
From: Christopher L. <loz...@sp...> - 2011-03-31 15:41:55
|
http://www.fpgacentral.com/fpgacamp Well I have mixed results to report. I do have one beautiful woman who volunteered to help man the booth, but sadly she does not know anything about FPGA. Kind of a marketing person. They are useful. I do not yet know enough about MyHDL to feel competent to be the senior engineer at the booth. As for me, I am making progress on my design. I now know what I want to build. And I do get MyHDL. I am happy to set up a booth, provide a sign, and bring my mac mini. But I would love someone who has used the tool set to be there. So why am I doing this? Well it is a free conference. In these things you get out what you put in. By helping to promote MyHDL, I will get to meet lots of like minded people. I become someone. I can listen to their problems, and talk about what I am doing. All I need is one experienced MyHDL user. The conference is only for about 4 hours, the booths are only open for about 2 hours. It is not much of a time commitment. It is good for all of us to grow the community. And the more people who use these open source tools the better. That means the more software contributions there will be to the package and to the documentation. -- Regards Christopher Lozinski Check out my iPhone apps TextFaster and EmailFaster http://textfaster.com |
From: Jan D. <ja...@ja...> - 2011-03-31 09:14:23
|
On 03/29/2011 10:07 PM, Christopher Lozinski wrote: > First let me introduce myself, I am a hard core Python and Zope > developer recently interested in FPGA.s > I know a lot about semiconductor manufacturing, but very little about > digital electonics. This MyHDL stuff looks hugely interesting, but hard > to wrap my head around. To avoid disappointments, it may be wise to start by reading an introductory text to digital electronics and synthesis first. Starting with MyHDL before this is probably not the right approach, because it assumes implicit knowledge, especially about limitations :-) (Until someone writes the book "Introduction to digital design using MyHDL" of course :-)) > My core observation is that modern CPU's average 10 instructions per > second with 2 billion transistors. Surely that is hugely inefficient, > and we can do better. > > On to the conference. Here is an upcoming free conference. Kind of an > open source camp. Maybe we could have a free booth there. > > http://www.fpgacentral.com/fpgacamp > > What does everyone think? Anyone interested? > -- Jan Decaluwe - Resources bvba - http://www.jandecaluwe.com Python as a HDL: http://www.myhdl.org VHDL development, the modern way: http://www.sigasi.com Analog design automation: http://www.mephisto-da.com World-class digital design: http://www.easics.com |
From: Christopher L. <loz...@fr...> - 2011-03-29 21:06:41
|
Correction. I meant 10 instructions per clock cycle, not per second. My apologies. And now that mistake will stay on the internet forever! -- Regards Christopher Lozinski Check out my iPhone apps TextFaster and EmailFaster http://textfaster.com |