You can subscribe to this list here.
| 2008 |
Jan
(98) |
Feb
(33) |
Mar
(60) |
Apr
(126) |
May
(186) |
Jun
(65) |
Jul
(19) |
Aug
(95) |
Sep
(86) |
Oct
(81) |
Nov
(46) |
Dec
(87) |
|---|---|---|---|---|---|---|---|---|---|---|---|---|
| 2009 |
Jan
(47) |
Feb
(79) |
Mar
(138) |
Apr
(44) |
May
(113) |
Jun
(133) |
Jul
(59) |
Aug
(84) |
Sep
(87) |
Oct
(65) |
Nov
(51) |
Dec
(141) |
| 2010 |
Jan
(63) |
Feb
(22) |
Mar
(28) |
Apr
(41) |
May
(59) |
Jun
(18) |
Jul
(7) |
Aug
(11) |
Sep
(85) |
Oct
(28) |
Nov
(51) |
Dec
(16) |
| 2011 |
Jan
(29) |
Feb
(35) |
Mar
(65) |
Apr
(106) |
May
(58) |
Jun
(8) |
Jul
(34) |
Aug
(52) |
Sep
(15) |
Oct
(32) |
Nov
(81) |
Dec
(69) |
| 2012 |
Jan
(50) |
Feb
(18) |
Mar
(47) |
Apr
(21) |
May
(12) |
Jun
(27) |
Jul
(4) |
Aug
(31) |
Sep
(15) |
Oct
(31) |
Nov
(2) |
Dec
(13) |
| 2013 |
Jan
(6) |
Feb
(1) |
Mar
(4) |
Apr
(7) |
May
(30) |
Jun
(7) |
Jul
(53) |
Aug
(60) |
Sep
(30) |
Oct
(38) |
Nov
(20) |
Dec
(12) |
| 2014 |
Jan
(8) |
Feb
(21) |
Mar
(15) |
Apr
(13) |
May
(1) |
Jun
(5) |
Jul
(23) |
Aug
(57) |
Sep
(7) |
Oct
(9) |
Nov
(32) |
Dec
(45) |
| 2015 |
Jan
(35) |
Feb
(16) |
Mar
(29) |
Apr
(20) |
May
(55) |
Jun
(37) |
Jul
(5) |
Aug
(25) |
Sep
(2) |
Oct
(3) |
Nov
(6) |
Dec
(8) |
| 2016 |
Jan
(23) |
Feb
(15) |
Mar
(39) |
Apr
(9) |
May
(4) |
Jun
(11) |
Jul
(5) |
Aug
(1) |
Sep
(1) |
Oct
(3) |
Nov
(12) |
Dec
(1) |
| 2017 |
Jan
(1) |
Feb
(4) |
Mar
(7) |
Apr
(3) |
May
|
Jun
|
Jul
|
Aug
|
Sep
(4) |
Oct
(13) |
Nov
(6) |
Dec
(4) |
| 2018 |
Jan
(26) |
Feb
(4) |
Mar
(5) |
Apr
(6) |
May
(1) |
Jun
(2) |
Jul
(9) |
Aug
|
Sep
(1) |
Oct
(5) |
Nov
|
Dec
(1) |
| 2019 |
Jan
(8) |
Feb
|
Mar
(6) |
Apr
|
May
|
Jun
(6) |
Jul
|
Aug
(40) |
Sep
(7) |
Oct
(23) |
Nov
(16) |
Dec
(8) |
| 2020 |
Jan
(3) |
Feb
(15) |
Mar
|
Apr
|
May
(27) |
Jun
(7) |
Jul
(2) |
Aug
(9) |
Sep
(32) |
Oct
(23) |
Nov
(6) |
Dec
(3) |
| 2021 |
Jan
(10) |
Feb
(1) |
Mar
(4) |
Apr
|
May
|
Jun
(2) |
Jul
|
Aug
|
Sep
|
Oct
|
Nov
|
Dec
|
| 2022 |
Jan
(3) |
Feb
|
Mar
|
Apr
(2) |
May
|
Jun
|
Jul
|
Aug
|
Sep
|
Oct
|
Nov
|
Dec
|
| 2023 |
Jan
(2) |
Feb
|
Mar
(4) |
Apr
|
May
|
Jun
|
Jul
|
Aug
|
Sep
|
Oct
|
Nov
|
Dec
|
|
From: Arun P <aru...@gm...> - 2014-02-19 07:38:57
|
Hi All, This is Arun. I am trying to speed up Icarus Verilog with GPU parallel processing for my academic project. I am looking for help . Which source file I should start looking at or which part will be suitable to off-load to GPU ? I am totally clueless. Please share your thoughts. Thanks, Arun. |
|
From: Stephen W. <st...@ic...> - 2014-02-18 17:05:21
|
-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA1 On 02/18/2014 02:13 AM, Iztok Jeras wrote: > The 'sv_unpacked_port.sv <http://sv_unpacked_port.sv>' needed some > more changes. Array sizes where only the size [width-1], not the > range [width-1:0] are specified, are only allowed for the unpacked > part of the array, so on the right side. Also the address was > missing from the list in the display line. I did not check the > intention of the test. OK, The sv_unpacked_port.sv test needed more work, so I've attached an update. This should fix it, and it should display "PASSED". Thanks for running these tests. - -- Steve Williams "The woods are lovely, dark and deep. steve at icarus.com But I have promises to keep, http://www.icarus.com and lines to code before I sleep, http://www.picturel.com And lines to code before I sleep." -----BEGIN PGP SIGNATURE----- Version: GnuPG v2.0.19 (GNU/Linux) Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/ iEYEARECAAYFAlMDksgACgkQrPt1Sc2b3imInwCaA96YLK2bnBHPI5diumfvgmWj 9u8AoLgMxE5ZyOZ4ONHrJ5PPCwCb6mby =kNj9 -----END PGP SIGNATURE----- |
|
From: Iztok J. <izt...@gm...> - 2014-02-18 10:13:52
|
The 'sv_typedef_scope.sv' issue was a trivial typo. The 'sv_unpacked_port.sv'
needed some more changes. Array sizes where only the size [width-1], not
the range [width-1:0] are specified, are only allowed for the unpacked part
of the array, so on the right side. Also the address was missing from the
list in the display line. I did not check the intention of the test.
Updated test files are attached, so you can check the diff.
Regards,
Iztok Jeras
$ irun sv_typedef_scope.sv
irun: 13.10-s014: (c) Copyright 1995-2014 Cadence Design Systems, Inc.
file: sv_typedef_scope.sv
module worklib.main:sv
errors: 0, warnings: 0
Caching library 'worklib' ....... Done
Elaborating the design hierarchy:
Top level design units:
$unit_0x3fdf4418
main
Building instance overlay tables: .................... Done
Generating native compiled code:
worklib.main:sv <0x5a44e65c>
streams: 1, words: 1444
Building instance specific data structures.
Loading native compiled code: .................... Done
Design hierarchy summary:
Instances Unique
Modules: 1 1
Registers: 1 1
Initial blocks: 1 1
Compilation units: 1 1
Writing initial simulation snapshot: worklib.main:sv
Loading snapshot worklib.main:sv .................... Done
ncsim> source /tools/opt/cadence/INCISIV13.10.014/tools/inca/files/ncsimrc
ncsim> run
PASSED
ncsim: *W,RNQUIE: Simulation is complete.
ncsim> exit
$ irun sv_unpacked_port.sv
irun: 13.10-s014: (c) Copyright 1995-2014 Cadence Design Systems, Inc.
file: sv_unpacked_port.sv
module worklib.test:sv
errors: 0, warnings: 0
module worklib.main:sv
errors: 0, warnings: 0
Caching library 'worklib' ....... Done
Elaborating the design hierarchy:
Top level design units:
main
Building instance overlay tables: .................... Done
Generating native compiled code:
worklib.main:sv <0x1e933575>
streams: 3, words: 2672
worklib.test:sv <0x6e825af1>
streams: 2, words: 349
Building instance specific data structures.
Loading native compiled code: .................... Done
Design hierarchy summary:
Instances Unique
Modules: 2 2
Registers: 5 5
Scalar wires: 1 -
Vectored wires: 3 -
Always blocks: 1 1
Initial blocks: 1 1
Pseudo assignments: 2 2
Writing initial simulation snapshot: worklib.main:sv
Loading snapshot worklib.main:sv .................... Done
ncsim> source /tools/opt/cadence/INCISIV13.10.014/tools/inca/files/ncsimrc
ncsim> run
FAILED -- data[1]==01, Q==00
Simulation complete via $finish(1) at time 4 NS + 0
./sv_unpacked_port.sv:41 $finish;
ncsim> exit
On Tue, Feb 18, 2014 at 11:02 AM, Iztok Jeras <izt...@gm...> wrote:
> $ irun sv_for_variable.sv
> irun: 13.10-s014: (c) Copyright 1995-2014 Cadence Design Systems, Inc.
> file: sv_for_variable.sv
> program worklib.main:sv
> errors: 0, warnings: 0
> Caching library 'worklib' ....... Done
> Elaborating the design hierarchy:
> Top level design units:
> main
> Building instance overlay tables: .................... Done
> Generating native compiled code:
> worklib.main:sv <0x0d85b4f9>
> streams: 1, words: 900
> Building instance specific data structures.
> Loading native compiled code: .................... Done
> Design hierarchy summary:
> Instances Unique
> Programs: 1 1
> Registers: 3 3
> Initial blocks: 1 1
> Writing initial simulation snapshot: worklib.main:sv
> Loading snapshot worklib.main:sv .................... Done
> ncsim> source /tools/opt/cadence/INCISIV13.10.014/tools/inca/files/ncsimrc
> ncsim> run
> PASSED
> Simulation complete via implicit call to $finish(1) at time 0 FS + 1
> ./sv_for_variable.sv:2 program main;
> ncsim> exit
>
>
> $ irun sv_typedef_scope.sv
> irun: 13.10-s014: (c) Copyright 1995-2014 Cadence Design Systems, Inc.
> file: sv_typedef_scope.sv
> module worklib.main:sv
> errors: 0, warnings: 0
> Caching library 'worklib' ....... Done
> Elaborating the design hierarchy:
> Top level design units:
> $unit_0x3fdf4418
> main
> if (foo.log_x !== 3'b000) begin
> |
> ncelab: *E,CUVUNF (./sv_typedef_scope.sv,35|18): Hierarchical name
> component lookup failed at 'log_x'.
> irun: *E,ELBERR: Error during elaboration (status 1), exiting.
>
>
> $ irun sv_unpacked_port.sv
> irun: 13.10-s014: (c) Copyright 1995-2014 Cadence Design Systems, Inc.
> file: sv_unpacked_port.sv
> module worklib.test:sv
> errors: 0, warnings: 0
> logic [width-1] data [0:3];
> |
> ncvlog: *E,SVPKSN (sv_unpacked_port.sv,21|17): The single-bound form of a
> range is only allowed for array (i.e., unpacked) dimensions.
> wire [width-1] Q;
> |
> ncvlog: *E,SVPKSN (sv_unpacked_port.sv,23|16): The single-bound form of a
> range is only allowed for array (i.e., unpacked) dimensions.
> module worklib.main:sv
> errors: 2, warnings: 0
> irun: *E,VLGERR: An error occurred during parsing. Review the log file
> for errors with the code *E and fix those identified problems to proceed.
> Exiting with code (status 1).
>
>
> I will try to fix the code if the errors are obvious.
>
> Regards,
> Iztok Jeras
>
>
> On Tue, Feb 18, 2014 at 5:17 AM, Stephen Williams <st...@ic...>wrote:
>
>> -----BEGIN PGP SIGNED MESSAGE-----
>> Hash: SHA1
>>
>>
>> Hi all,
>>
>> I'm looking at some more SystemVerilog features, and I would like
>> to check some test programs with some Big-3 simulators to make
>> sure I really am understanding things correctly. I've attached
>> the example that I'm planning to make work. Can someone send me
>> results from some other tools?
>>
>> Thanks,
>>
>> - --
>> Steve Williams "The woods are lovely, dark and deep.
>> steve at icarus.com But I have promises to keep,
>> http://www.icarus.com and lines to code before I sleep,
>> http://www.picturel.com And lines to code before I sleep."
>> -----BEGIN PGP SIGNATURE-----
>> Version: GnuPG v2.0.19 (GNU/Linux)
>> Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/
>>
>> iEYEARECAAYFAlMC3tUACgkQrPt1Sc2b3im1nwCcCestQvk+jMeQEpqPDPKGbyJB
>> fQMAn0DnI3sx2wvHyvW6u98e0vabcMNb
>> =Wa0G
>> -----END PGP SIGNATURE-----
>>
>>
>> ------------------------------------------------------------------------------
>> Managing the Performance of Cloud-Based Applications
>> Take advantage of what the Cloud has to offer - Avoid Common Pitfalls.
>> Read the Whitepaper.
>>
>> http://pubads.g.doubleclick.net/gampad/clk?id=121054471&iu=/4140/ostg.clktrk
>> _______________________________________________
>> Iverilog-devel mailing list
>> Ive...@li...
>> https://lists.sourceforge.net/lists/listinfo/iverilog-devel
>>
>>
>
|
|
From: Iztok J. <izt...@gm...> - 2014-02-18 10:02:50
|
$ irun sv_for_variable.sv
irun: 13.10-s014: (c) Copyright 1995-2014 Cadence Design Systems, Inc.
file: sv_for_variable.sv
program worklib.main:sv
errors: 0, warnings: 0
Caching library 'worklib' ....... Done
Elaborating the design hierarchy:
Top level design units:
main
Building instance overlay tables: .................... Done
Generating native compiled code:
worklib.main:sv <0x0d85b4f9>
streams: 1, words: 900
Building instance specific data structures.
Loading native compiled code: .................... Done
Design hierarchy summary:
Instances Unique
Programs: 1 1
Registers: 3 3
Initial blocks: 1 1
Writing initial simulation snapshot: worklib.main:sv
Loading snapshot worklib.main:sv .................... Done
ncsim> source /tools/opt/cadence/INCISIV13.10.014/tools/inca/files/ncsimrc
ncsim> run
PASSED
Simulation complete via implicit call to $finish(1) at time 0 FS + 1
./sv_for_variable.sv:2 program main;
ncsim> exit
$ irun sv_typedef_scope.sv
irun: 13.10-s014: (c) Copyright 1995-2014 Cadence Design Systems, Inc.
file: sv_typedef_scope.sv
module worklib.main:sv
errors: 0, warnings: 0
Caching library 'worklib' ....... Done
Elaborating the design hierarchy:
Top level design units:
$unit_0x3fdf4418
main
if (foo.log_x !== 3'b000) begin
|
ncelab: *E,CUVUNF (./sv_typedef_scope.sv,35|18): Hierarchical name
component lookup failed at 'log_x'.
irun: *E,ELBERR: Error during elaboration (status 1), exiting.
$ irun sv_unpacked_port.sv
irun: 13.10-s014: (c) Copyright 1995-2014 Cadence Design Systems, Inc.
file: sv_unpacked_port.sv
module worklib.test:sv
errors: 0, warnings: 0
logic [width-1] data [0:3];
|
ncvlog: *E,SVPKSN (sv_unpacked_port.sv,21|17): The single-bound form of a
range is only allowed for array (i.e., unpacked) dimensions.
wire [width-1] Q;
|
ncvlog: *E,SVPKSN (sv_unpacked_port.sv,23|16): The single-bound form of a
range is only allowed for array (i.e., unpacked) dimensions.
module worklib.main:sv
errors: 2, warnings: 0
irun: *E,VLGERR: An error occurred during parsing. Review the log file for
errors with the code *E and fix those identified problems to proceed.
Exiting with code (status 1).
I will try to fix the code if the errors are obvious.
Regards,
Iztok Jeras
On Tue, Feb 18, 2014 at 5:17 AM, Stephen Williams <st...@ic...> wrote:
> -----BEGIN PGP SIGNED MESSAGE-----
> Hash: SHA1
>
>
> Hi all,
>
> I'm looking at some more SystemVerilog features, and I would like
> to check some test programs with some Big-3 simulators to make
> sure I really am understanding things correctly. I've attached
> the example that I'm planning to make work. Can someone send me
> results from some other tools?
>
> Thanks,
>
> - --
> Steve Williams "The woods are lovely, dark and deep.
> steve at icarus.com But I have promises to keep,
> http://www.icarus.com and lines to code before I sleep,
> http://www.picturel.com And lines to code before I sleep."
> -----BEGIN PGP SIGNATURE-----
> Version: GnuPG v2.0.19 (GNU/Linux)
> Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/
>
> iEYEARECAAYFAlMC3tUACgkQrPt1Sc2b3im1nwCcCestQvk+jMeQEpqPDPKGbyJB
> fQMAn0DnI3sx2wvHyvW6u98e0vabcMNb
> =Wa0G
> -----END PGP SIGNATURE-----
>
>
> ------------------------------------------------------------------------------
> Managing the Performance of Cloud-Based Applications
> Take advantage of what the Cloud has to offer - Avoid Common Pitfalls.
> Read the Whitepaper.
>
> http://pubads.g.doubleclick.net/gampad/clk?id=121054471&iu=/4140/ostg.clktrk
> _______________________________________________
> Iverilog-devel mailing list
> Ive...@li...
> https://lists.sourceforge.net/lists/listinfo/iverilog-devel
>
>
|
|
From: Stephen W. <st...@ic...> - 2014-02-18 04:17:34
|
-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA1 Hi all, I'm looking at some more SystemVerilog features, and I would like to check some test programs with some Big-3 simulators to make sure I really am understanding things correctly. I've attached the example that I'm planning to make work. Can someone send me results from some other tools? Thanks, - -- Steve Williams "The woods are lovely, dark and deep. steve at icarus.com But I have promises to keep, http://www.icarus.com and lines to code before I sleep, http://www.picturel.com And lines to code before I sleep." -----BEGIN PGP SIGNATURE----- Version: GnuPG v2.0.19 (GNU/Linux) Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/ iEYEARECAAYFAlMC3tUACgkQrPt1Sc2b3im1nwCcCestQvk+jMeQEpqPDPKGbyJB fQMAn0DnI3sx2wvHyvW6u98e0vabcMNb =Wa0G -----END PGP SIGNATURE----- |
|
From: Stephen W. <st...@ic...> - 2014-02-14 22:22:13
|
-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA1 Actually, the %store/vec4a works very much like you suggest in that message, so the test for 'x' and the jump are folded into a single instruction. I think a gprof run will help pin down what is really wrong. It is probably a matter of some vvp_vector4_t class handling that is not optimal that this new behavior is triggering. On 02/14/2014 01:03 PM, Martin Whitaker wrote: > I was somewhat expecting a performance hit (which is why I checked > for it!). I'll remind you of this > > http://sourceforge.net/p/iverilog/mailman/message/31240085/ > > which could account for a lot of it. > > Martin > > Stephen Williams wrote: > > Woah, that's interesting. (The performance hit, I mean.) > > It is true, though, that some of the translation is simplistic, and > my expectation is that with some optimization I should be able to > turn that around. For example, I have done NO profiling yet. There > are a few tests in the ivtest suite that broke, and I want to > address those first, but then I want to do some profiles to really > see what's bad. Honestly, I think I can get it faster than the > existing mode. > > On 02/14/2014 12:41 PM, Martin Whitaker wrote: >>>> Running the subset of my production tests that Icarus can >>>> handle has not exposed any functional bugs. However, >>>> selecting a moderately sized test, I'm seeing ~32% increase >>>> in run time and ~10% increase in number of vvp instructions. >>>> This is too big a performance hit for my liking. >>>> >>>> Martin >>>> >>>> Stephen Williams wrote: >>>> >>>> The vec4-stack branch of Icarus Verilog in the git repository >>>> is almost complete. I've been merging in updates to master, >>>> so it has been keeping up with other changes. >>>> >>>> The actual vec4 work is almost complete; there are only a >>>> few unusual corner cases that are not working, so I think it >>>> is ready for folks to start trying it out. I'm not going to >>>> merge it into master until it passes ALL the ivtest tests >>>> that master current passes (there are a handful that fail) >>>> but it is really close and I would like to get it out there >>>> for people to mess with. >>>> >>>>> >>>>> ------------------------------------------------------------------------------ >>>>> >>>>> > >>>>> Android apps run on BlackBerry 10 >>>>> Introducing the new BlackBerry 10.2.1 Runtime for Android >>>>> apps. Now with support for Jelly Bean, Bluetooth, Mapview >>>>> and more. Get your Android app in front of a whole new >>>>> audience. Start now. >>>>> http://pubads.g.doubleclick.net/gampad/clk?id=124407151&iu=/4140/ostg.clktrk >>>>> >>>>> > >>>>> _______________________________________________ >>>>> Iverilog-devel mailing list >>>>> Ive...@li... >>>>> https://lists.sourceforge.net/lists/listinfo/iverilog-devel >>>>> >>>> >>>> >>>> >>>>> - ------------------------------------------------------------------------------ >>>> >>>> > Android apps run on BlackBerry 10 >>>> Introducing the new BlackBerry 10.2.1 Runtime for Android >>>> apps. Now with support for Jelly Bean, Bluetooth, Mapview and >>>> more. Get your Android app in front of a whole new audience. >>>> Start now. >>>> http://pubads.g.doubleclick.net/gampad/clk?id=124407151&iu=/4140/ostg.clktrk >>>> >>>> > >>>> _______________________________________________ >>>> Iverilog-devel mailing list >>>> Ive...@li... >>>> https://lists.sourceforge.net/lists/listinfo/iverilog-devel >>>> > >> >> ------------------------------------------------------------------------------ >> >> Android apps run on BlackBerry 10 >> Introducing the new BlackBerry 10.2.1 Runtime for Android apps. >> Now with support for Jelly Bean, Bluetooth, Mapview and more. Get >> your Android app in front of a whole new audience. Start now. >> http://pubads.g.doubleclick.net/gampad/clk?id=124407151&iu=/4140/ostg.clktrk >> >> _______________________________________________ >> Iverilog-devel mailing list Ive...@li... >> https://lists.sourceforge.net/lists/listinfo/iverilog-devel >> > > > ------------------------------------------------------------------------------ > > Android apps run on BlackBerry 10 > Introducing the new BlackBerry 10.2.1 Runtime for Android apps. Now > with support for Jelly Bean, Bluetooth, Mapview and more. Get your > Android app in front of a whole new audience. Start now. > http://pubads.g.doubleclick.net/gampad/clk?id=124407151&iu=/4140/ostg.clktrk > > _______________________________________________ > Iverilog-devel mailing list Ive...@li... > https://lists.sourceforge.net/lists/listinfo/iverilog-devel > - -- Steve Williams "The woods are lovely, dark and deep. steve at icarus.com But I have promises to keep, http://www.icarus.com and lines to code before I sleep, http://www.picturel.com And lines to code before I sleep." -----BEGIN PGP SIGNATURE----- Version: GnuPG v2.0.19 (GNU/Linux) Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/ iEYEARECAAYFAlL+lwsACgkQrPt1Sc2b3impGgCfSsC1L1jdiiXT/5LL8UpjmsvY LCMAn2IInYtytz+ErsAQ20GcC2v8mMlB =kF9b -----END PGP SIGNATURE----- |
|
From: Martin W. <mai...@ma...> - 2014-02-14 21:16:34
|
I was somewhat expecting a performance hit (which is why I checked for it!). I'll remind you of this http://sourceforge.net/p/iverilog/mailman/message/31240085/ which could account for a lot of it. Martin Stephen Williams wrote: > -----BEGIN PGP SIGNED MESSAGE----- > Hash: SHA1 > > > Woah, that's interesting. (The performance hit, I mean.) > > It is true, though, that some of the translation is simplistic, > and my expectation is that with some optimization I should be > able to turn that around. For example, I have done NO profiling > yet. There are a few tests in the ivtest suite that broke, and > I want to address those first, but then I want to do some profiles > to really see what's bad. Honestly, I think I can get it faster > than the existing mode. > > On 02/14/2014 12:41 PM, Martin Whitaker wrote: >> Running the subset of my production tests that Icarus can handle >> has not exposed any functional bugs. However, selecting a >> moderately sized test, I'm seeing ~32% increase in run time and >> ~10% increase in number of vvp instructions. This is too big a >> performance hit for my liking. >> >> Martin >> >> Stephen Williams wrote: >> >> The vec4-stack branch of Icarus Verilog in the git repository is >> almost complete. I've been merging in updates to master, so it has >> been keeping up with other changes. >> >> The actual vec4 work is almost complete; there are only a few >> unusual corner cases that are not working, so I think it is ready >> for folks to start trying it out. I'm not going to merge it into >> master until it passes ALL the ivtest tests that master current >> passes (there are a handful that fail) but it is really close and I >> would like to get it out there for people to mess with. >> >>> >>> ------------------------------------------------------------------------------ >>> >>> > Android apps run on BlackBerry 10 >>> Introducing the new BlackBerry 10.2.1 Runtime for Android apps. >>> Now with support for Jelly Bean, Bluetooth, Mapview and more. Get >>> your Android app in front of a whole new audience. Start now. >>> http://pubads.g.doubleclick.net/gampad/clk?id=124407151&iu=/4140/ostg.clktrk >>> >>> > _______________________________________________ >>> Iverilog-devel mailing list Ive...@li... >>> https://lists.sourceforge.net/lists/listinfo/iverilog-devel >>> >> >> >> ------------------------------------------------------------------------------ >> >> > Android apps run on BlackBerry 10 >> Introducing the new BlackBerry 10.2.1 Runtime for Android apps. Now >> with support for Jelly Bean, Bluetooth, Mapview and more. Get your >> Android app in front of a whole new audience. Start now. >> http://pubads.g.doubleclick.net/gampad/clk?id=124407151&iu=/4140/ostg.clktrk >> >> > _______________________________________________ >> Iverilog-devel mailing list Ive...@li... >> https://lists.sourceforge.net/lists/listinfo/iverilog-devel >> > > - -- > Steve Williams "The woods are lovely, dark and deep. > steve at icarus.com But I have promises to keep, > http://www.icarus.com and lines to code before I sleep, > http://www.picturel.com And lines to code before I sleep." > -----BEGIN PGP SIGNATURE----- > Version: GnuPG v2.0.19 (GNU/Linux) > Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/ > > iEYEARECAAYFAlL+gKYACgkQrPt1Sc2b3ikXfgCfdJHO/jjYYLDIdxryfnmNyoGQ > WsgAoMz+TwqjfjTCS2SkcoipRWF8q5Ys > =lbE1 > -----END PGP SIGNATURE----- > > ------------------------------------------------------------------------------ > Android apps run on BlackBerry 10 > Introducing the new BlackBerry 10.2.1 Runtime for Android apps. > Now with support for Jelly Bean, Bluetooth, Mapview and more. > Get your Android app in front of a whole new audience. Start now. > http://pubads.g.doubleclick.net/gampad/clk?id=124407151&iu=/4140/ostg.clktrk > _______________________________________________ > Iverilog-devel mailing list > Ive...@li... > https://lists.sourceforge.net/lists/listinfo/iverilog-devel > |
|
From: Stephen W. <st...@ic...> - 2014-02-14 20:46:37
|
-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA1 Woah, that's interesting. (The performance hit, I mean.) It is true, though, that some of the translation is simplistic, and my expectation is that with some optimization I should be able to turn that around. For example, I have done NO profiling yet. There are a few tests in the ivtest suite that broke, and I want to address those first, but then I want to do some profiles to really see what's bad. Honestly, I think I can get it faster than the existing mode. On 02/14/2014 12:41 PM, Martin Whitaker wrote: > Running the subset of my production tests that Icarus can handle > has not exposed any functional bugs. However, selecting a > moderately sized test, I'm seeing ~32% increase in run time and > ~10% increase in number of vvp instructions. This is too big a > performance hit for my liking. > > Martin > > Stephen Williams wrote: > > The vec4-stack branch of Icarus Verilog in the git repository is > almost complete. I've been merging in updates to master, so it has > been keeping up with other changes. > > The actual vec4 work is almost complete; there are only a few > unusual corner cases that are not working, so I think it is ready > for folks to start trying it out. I'm not going to merge it into > master until it passes ALL the ivtest tests that master current > passes (there are a handful that fail) but it is really close and I > would like to get it out there for people to mess with. > >> >> ------------------------------------------------------------------------------ >> >> Android apps run on BlackBerry 10 >> Introducing the new BlackBerry 10.2.1 Runtime for Android apps. >> Now with support for Jelly Bean, Bluetooth, Mapview and more. Get >> your Android app in front of a whole new audience. Start now. >> http://pubads.g.doubleclick.net/gampad/clk?id=124407151&iu=/4140/ostg.clktrk >> >> _______________________________________________ >> Iverilog-devel mailing list Ive...@li... >> https://lists.sourceforge.net/lists/listinfo/iverilog-devel >> > > > ------------------------------------------------------------------------------ > > Android apps run on BlackBerry 10 > Introducing the new BlackBerry 10.2.1 Runtime for Android apps. Now > with support for Jelly Bean, Bluetooth, Mapview and more. Get your > Android app in front of a whole new audience. Start now. > http://pubads.g.doubleclick.net/gampad/clk?id=124407151&iu=/4140/ostg.clktrk > > _______________________________________________ > Iverilog-devel mailing list Ive...@li... > https://lists.sourceforge.net/lists/listinfo/iverilog-devel > - -- Steve Williams "The woods are lovely, dark and deep. steve at icarus.com But I have promises to keep, http://www.icarus.com and lines to code before I sleep, http://www.picturel.com And lines to code before I sleep." -----BEGIN PGP SIGNATURE----- Version: GnuPG v2.0.19 (GNU/Linux) Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/ iEYEARECAAYFAlL+gKYACgkQrPt1Sc2b3ikXfgCfdJHO/jjYYLDIdxryfnmNyoGQ WsgAoMz+TwqjfjTCS2SkcoipRWF8q5Ys =lbE1 -----END PGP SIGNATURE----- |
|
From: Martin W. <mai...@ma...> - 2014-02-14 20:41:44
|
Running the subset of my production tests that Icarus can handle has not exposed any functional bugs. However, selecting a moderately sized test, I'm seeing ~32% increase in run time and ~10% increase in number of vvp instructions. This is too big a performance hit for my liking. Martin Stephen Williams wrote: > -----BEGIN PGP SIGNED MESSAGE----- > Hash: SHA1 > > > The vec4-stack branch of Icarus Verilog in the git repository > is almost complete. I've been merging in updates to master, so > it has been keeping up with other changes. > > The actual vec4 work is almost complete; there are only a few > unusual corner cases that are not working, so I think it is > ready for folks to start trying it out. I'm not going to merge > it into master until it passes ALL the ivtest tests that master > current passes (there are a handful that fail) but it is really > close and I would like to get it out there for people to mess > with. > > - -- > Steve Williams "The woods are lovely, dark and deep. > steve at icarus.com But I have promises to keep, > http://www.icarus.com and lines to code before I sleep, > http://www.picturel.com And lines to code before I sleep." > -----BEGIN PGP SIGNATURE----- > Version: GnuPG v2.0.19 (GNU/Linux) > Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/ > > iEYEARECAAYFAlL9jrAACgkQrPt1Sc2b3ikERgCfYujagIh8cUTjzENqzPBQW0VW > JyQAn1HtcLPh2YcFj2jWHGrBXI4jvwLb > =thnL > -----END PGP SIGNATURE----- > > ------------------------------------------------------------------------------ > Android apps run on BlackBerry 10 > Introducing the new BlackBerry 10.2.1 Runtime for Android apps. > Now with support for Jelly Bean, Bluetooth, Mapview and more. > Get your Android app in front of a whole new audience. Start now. > http://pubads.g.doubleclick.net/gampad/clk?id=124407151&iu=/4140/ostg.clktrk > _______________________________________________ > Iverilog-devel mailing list > Ive...@li... > https://lists.sourceforge.net/lists/listinfo/iverilog-devel > |
|
From: Stephen W. <st...@ic...> - 2014-02-14 03:34:20
|
-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA1 The vec4-stack branch of Icarus Verilog in the git repository is almost complete. I've been merging in updates to master, so it has been keeping up with other changes. The actual vec4 work is almost complete; there are only a few unusual corner cases that are not working, so I think it is ready for folks to start trying it out. I'm not going to merge it into master until it passes ALL the ivtest tests that master current passes (there are a handful that fail) but it is really close and I would like to get it out there for people to mess with. - -- Steve Williams "The woods are lovely, dark and deep. steve at icarus.com But I have promises to keep, http://www.icarus.com and lines to code before I sleep, http://www.picturel.com And lines to code before I sleep." -----BEGIN PGP SIGNATURE----- Version: GnuPG v2.0.19 (GNU/Linux) Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/ iEYEARECAAYFAlL9jrAACgkQrPt1Sc2b3ikERgCfYujagIh8cUTjzENqzPBQW0VW JyQAn1HtcLPh2YcFj2jWHGrBXI4jvwLb =thnL -----END PGP SIGNATURE----- |
|
From: Jared C. <jar...@gm...> - 2014-01-21 19:07:02
|
VCS version G-2012.09-3, ncverilog 08.20-s006, and ModelSim 10.0a all print "PASSED". Jared On Fri, Jan 17, 2014 at 4:56 PM, Stephen Williams <st...@ic...> wrote: > -----BEGIN PGP SIGNED MESSAGE----- > Hash: SHA1 > > > The attached test is something that caught me by surprise. The > example tests the ability to continuous assign to part of a > vector and behaviorally assign to other parts of the variable. > This never comes up in baseline Verilog, but in SystemVerilog > I think it is legal. Can someone double-check this test program > for me on some big-name tools? Thanks. > > - -- > Steve Williams "The woods are lovely, dark and deep. > steve at icarus.com But I have promises to keep, > http://www.icarus.com and lines to code before I sleep, > http://www.picturel.com And lines to code before I sleep." > -----BEGIN PGP SIGNATURE----- > Version: GnuPG v2.0.19 (GNU/Linux) > Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/ > > iEYEARECAAYFAlLZ0TkACgkQrPt1Sc2b3im7HwCgsGdpF/ZgEu0kx5tt/4N1N3fs > 4dwAoMy2jwGIyAaZXdNk3NrBXALYzqW+ > =+K85 > -----END PGP SIGNATURE----- > > > ------------------------------------------------------------------------------ > CenturyLink Cloud: The Leader in Enterprise Cloud Services. > Learn Why More Businesses Are Choosing CenturyLink Cloud For > Critical Workloads, Development Environments & Everything In Between. > Get a Quote or Start a Free Trial Today. > > http://pubads.g.doubleclick.net/gampad/clk?id=119420431&iu=/4140/ostg.clktrk > _______________________________________________ > Iverilog-devel mailing list > Ive...@li... > https://lists.sourceforge.net/lists/listinfo/iverilog-devel > > |
|
From: Martin W. <mai...@ma...> - 2014-01-21 18:54:09
|
Stephen Williams wrote:
> Yep, that's an Icarus Verilog bug and needs to be filed.
> ISim is correct that 2'b10 + 2'b10 == 2'b00.
>
> On 01/21/2014 10:19 AM, Sébastien Bourdeauducq wrote:
>> On 01/21/2014 07:17 PM, Stephen Williams wrote:
>>>> $display("%b", 2'd2 + 2'd2);
>>> I'm actually surprised that this displays 100, as that is 3 bits,
>>> and the expression is only 2 bits.
>>
>> FWIW Xilinx ISim displays 00 here.
I'm guessing this is using v0.9. Development gives the correct result. There
are a lot of expression width bugs in v0.9 which are fixed in development.
Martin
|
|
From: Stephen W. <st...@ic...> - 2014-01-21 18:26:45
|
-----BEGIN PGP SIGNED MESSAGE-----
Hash: SHA1
Yep, that's an Icarus Verilog bug and needs to be filed.
ISim is correct that 2'b10 + 2'b10 == 2'b00.
On 01/21/2014 10:19 AM, Sébastien Bourdeauducq wrote:
> On 01/21/2014 07:17 PM, Stephen Williams wrote:
>>> $display("%b", 2'd2 + 2'd2);
>> I'm actually surprised that this displays 100, as that is 3 bits,
>> and the expression is only 2 bits.
>
> FWIW Xilinx ISim displays 00 here.
>
>
>
> ------------------------------------------------------------------------------
>
>
CenturyLink Cloud: The Leader in Enterprise Cloud Services.
> Learn Why More Businesses Are Choosing CenturyLink Cloud For
> Critical Workloads, Development Environments & Everything In
> Between. Get a Quote or Start a Free Trial Today.
> http://pubads.g.doubleclick.net/gampad/clk?id=119420431&iu=/4140/ostg.clktrk
>
>
_______________________________________________
> Iverilog-devel mailing list Ive...@li...
> https://lists.sourceforge.net/lists/listinfo/iverilog-devel
>
- --
Steve Williams "The woods are lovely, dark and deep.
steve at icarus.com But I have promises to keep,
http://www.icarus.com and lines to code before I sleep,
http://www.picturel.com And lines to code before I sleep."
-----BEGIN PGP SIGNATURE-----
Version: GnuPG v2.0.19 (GNU/Linux)
Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/
iEYEARECAAYFAlLeu94ACgkQrPt1Sc2b3inIGwCeMFvfD0GpOdGPVDXSyXGRvcGn
zH0AoIR01U49bovXW06d7unzqYppd+t6
=xwq5
-----END PGP SIGNATURE-----
|
|
From: Sébastien B. <seb...@le...> - 2014-01-21 18:25:06
|
On 01/21/2014 07:17 PM, Stephen Williams wrote:
>> $display("%b", 2'd2 + 2'd2);
> I'm actually surprised that this displays 100, as that is 3 bits,
> and the expression is only 2 bits.
FWIW Xilinx ISim displays 00 here.
|
|
From: Stephen W. <st...@ic...> - 2014-01-21 18:17:11
|
-----BEGIN PGP SIGNED MESSAGE-----
Hash: SHA1
On 01/21/2014 09:24 AM, Sébastien Bourdeauducq wrote:
> Hi,
>
> Running the following:
>
> $display("%b", 2'd2 + 2'd2);
I'm actually surprised that this displays 100, as that is 3 bits,
and the expression is only 2 bits.
> $display("%b", 3'd4 >= 2'd1);
Yeah, that's fine. 3'b100 >= 2'b01 ---> 1'b1
> $display("%b", (2'd2 + 2'd2) >= 2'd1);
This is right: (2'b10 + 2'b10) >= 2'b01
Both sides are 2bits wide, and 10+10 == (1)00 == 2'b00, which
is not >= 01. The size of arithmetic expressions is the size
of the largest operand, in the case of "+" in your example,
that is 2bits.
- --
Steve Williams "The woods are lovely, dark and deep.
steve at icarus.com But I have promises to keep,
http://www.icarus.com and lines to code before I sleep,
http://www.picturel.com And lines to code before I sleep."
-----BEGIN PGP SIGNATURE-----
Version: GnuPG v2.0.19 (GNU/Linux)
Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/
iEYEARECAAYFAlLeuZ8ACgkQrPt1Sc2b3inRUACbBOFpRSYxmmGU7r1bA2/c2vC+
AOMAn0amhMEf5WAMMMkzUJLTAxeglOr2
=7kdu
-----END PGP SIGNATURE-----
|
|
From: Sébastien B. <seb...@le...> - 2014-01-21 17:46:38
|
Hi,
Running the following:
$display("%b", 2'd2 + 2'd2);
$display("%b", 3'd4 >= 2'd1);
$display("%b", (2'd2 + 2'd2) >= 2'd1);
produces this output:
100 (ok)
1 (ok)
0 (wtf?!)
The Verilog standard says that when comparing operands of different
lengths, the smaller one should be extended (I guess, sign-extended).
Is there yet another Verilog idiosyncrasy that I have missed out (e.g.
the right hand side of the comparison defines the width for the
addition), or is this a bug in Icarus Verilog?
Sébastien
|
|
From: Stephen W. <st...@ic...> - 2014-01-18 23:15:52
|
-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA1 I don't know if anyone noticed, but I've been busy for the last two months working on a new branch, the vec4-stack branch. For those who know how Icarus Verilog simulation works internally, that branch is a complete rework of how vector expressions are evaluated in behavioral code. The work is not done, it will be merged into master when I am done, but it is done enough that anyone working on the vvp engine or code generator will want to check with what is going on in there. My thinking for this change is that a stack based expression engine is probably more efficient than the flat memory based system that it is replacing, and is almost certainly simpler to generate code for. The latter is turning out to be very true. It remains to be seen if it will ultimately be faster, but I'm thinking that it will, at least after some optimization. anyhow, that's what's up with that branch. Thought you'd like to know. - -- Steve Williams "The woods are lovely, dark and deep. steve at icarus.com But I have promises to keep, http://www.icarus.com and lines to code before I sleep, http://www.picturel.com And lines to code before I sleep." -----BEGIN PGP SIGNATURE----- Version: GnuPG v2.0.19 (GNU/Linux) Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/ iEYEARECAAYFAlLbCyAACgkQrPt1Sc2b3illFwCgvnpa6dyxST1CjhzNRy5ws9p2 TA0AoNhrYdLSrzDvjhn4Usssa5//Ss83 =0xVK -----END PGP SIGNATURE----- |
|
From: Stephen W. <st...@ic...> - 2014-01-18 01:14:15
|
-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA1 The attached test is something that caught me by surprise. The example tests the ability to continuous assign to part of a vector and behaviorally assign to other parts of the variable. This never comes up in baseline Verilog, but in SystemVerilog I think it is legal. Can someone double-check this test program for me on some big-name tools? Thanks. - -- Steve Williams "The woods are lovely, dark and deep. steve at icarus.com But I have promises to keep, http://www.icarus.com and lines to code before I sleep, http://www.picturel.com And lines to code before I sleep." -----BEGIN PGP SIGNATURE----- Version: GnuPG v2.0.19 (GNU/Linux) Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/ iEYEARECAAYFAlLZ0TkACgkQrPt1Sc2b3im7HwCgsGdpF/ZgEu0kx5tt/4N1N3fs 4dwAoMy2jwGIyAaZXdNk3NrBXALYzqW+ =+K85 -----END PGP SIGNATURE----- |
|
From: Jared C. <jar...@gm...> - 2013-12-13 00:42:32
|
All three tests pass in ModelSim 10.0a and VCS G-2012.09-3 as well. Jared On Wed, Dec 11, 2013 at 6:39 PM, Stephen Williams <st...@ic...> wrote: > -----BEGIN PGP SIGNED MESSAGE----- > Hash: SHA1 > > This is actually a set of tests that check my understanding of > how the $root scope should work for certain types of typedefs. > I've encountered syntax like this in customer code so I'm pretty > sure it is correct, but I'd like to have these programs in > particular checked, just to be sure. > > Thanks, > > - -- > Steve Williams "The woods are lovely, dark and deep. > steve at icarus.com But I have promises to keep, > http://www.icarus.com and lines to code before I sleep, > http://www.picturel.com And lines to code before I sleep." > -----BEGIN PGP SIGNATURE----- > Version: GnuPG v2.0.19 (GNU/Linux) > Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/ > > iEYEARECAAYFAlKpIegACgkQrPt1Sc2b3ilsGQCglFv7pZmhGljFNux1xuEkAJSE > sUwAoOpBTRj20J/UzSDVbhZq0qFuiW0k > =SdV2 > -----END PGP SIGNATURE----- > > ------------------------------------------------------------------------------ > Rapidly troubleshoot problems before they affect your business. Most IT > organizations don't have a clear picture of how application performance > affects their revenue. With AppDynamics, you get 100% visibility into your > Java,.NET, & PHP application. Start your 15-day FREE TRIAL of AppDynamics Pro! > http://pubads.g.doubleclick.net/gampad/clk?id=84349831&iu=/4140/ostg.clktrk > _______________________________________________ > Iverilog-devel mailing list > Ive...@li... > https://lists.sourceforge.net/lists/listinfo/iverilog-devel > |
|
From: <by...@nc...> - 2013-12-12 18:13:06
|
"To dump an array word Icarus needs to escape the name so it is compatible with the VCD dump format. That's what \data[0][31:0] is. It is the zeroth? 32-bit word of the data array. Because an escaped name and an array name could now conflict Icarus produces the warning. It would be best if it could check for an escaped identifier conflict and only print a message when there is a problem, but as I remember this was not possible." ...I don't think that there's a need to escape the names. Both VCS (followed by fsdb2vcd) and CVC emit the name directly with no problems. Cut and paste example shown below: $var wire 5 `' IC_DrAd0 [3][4:0] $end $var wire 5 a' IC_DrAd0 [2][4:0] $end $var wire 5 b' IC_DrAd0 [1][4:0] $end $var wire 5 c' IC_DrAd0 [0][4:0] $end I realize the VCD spec doesn't define this, but I've had to fold in a lot of these kinds of extensions into gtkwave over the years as other tools generate these constructs. The escapes can cause save file incompatibilities (missing signals) when trying to simulate on iverilog versus VCS. Over time, SV constructs likely will cause further things added to the VCD files. AFAIK, the VCD part of the 1364 spec hasn't updated at all since Verilog-XL. CVC gets around possible incompatibilities by adding a +dump_arrays plusarg (and no, you don't have to loop on each array element either). -Tony |
|
From: Iztok J. <izt...@gm...> - 2013-12-12 09:21:43
|
$ irun sv_union1b.sv
irun: 13.10-s008: (c) Copyright 1995-2013 Cadence Design Systems, Inc.
file: sv_union1b.sv
module worklib.main:sv
errors: 0, warnings: 0
Caching library 'worklib' ....... Done
Elaborating the design hierarchy:
Top level design units:
$unit_0x06988e28
main
Building instance overlay tables: .................... Done
Generating native compiled code:
worklib.main:sv <0x3f23628f>
streams: 1, words: 1093
Building instance specific data structures.
Loading native compiled code: .................... Done
Design hierarchy summary:
Instances Unique
Modules: 1 1
Registers: 1 1
Initial blocks: 1 1
Compilation units: 1 1
Writing initial simulation snapshot: worklib.main:sv
Loading snapshot worklib.main:sv .................... Done
ncsim> source /tools/opt/cadence/INCISIV13.10.008/tools/inca/files/ncsimrc
ncsim> run
PASSED
ncsim: *W,RNQUIE: Simulation is complete.
ncsim> exit
$ irun sv_union2b.sv
irun: 13.10-s008: (c) Copyright 1995-2013 Cadence Design Systems, Inc.
file: sv_union2b.sv
module worklib.main:sv
errors: 0, warnings: 0
Caching library 'worklib' ....... Done
Elaborating the design hierarchy:
Top level design units:
$unit_0x069c2138
main
Building instance overlay tables: .................... Done
Generating native compiled code:
worklib.main:sv <0x4f0d5546>
streams: 1, words: 1097
Building instance specific data structures.
Loading native compiled code: .................... Done
Design hierarchy summary:
Instances Unique
Modules: 1 1
Registers: 1 1
Initial blocks: 1 1
Compilation units: 1 1
Writing initial simulation snapshot: worklib.main:sv
Loading snapshot worklib.main:sv .................... Done
ncsim> source /tools/opt/cadence/INCISIV13.10.008/tools/inca/files/ncsimrc
ncsim> run
PASSED
ncsim: *W,RNQUIE: Simulation is complete.
ncsim> exit
$ irun sv_union3b.sv
irun: 13.10-s008: (c) Copyright 1995-2013 Cadence Design Systems, Inc.
file: sv_union3b.sv
module worklib.main:sv
errors: 0, warnings: 0
Caching library 'worklib' ....... Done
Elaborating the design hierarchy:
Top level design units:
$unit_0x069fb448
main
Building instance overlay tables: .................... Done
Generating native compiled code:
worklib.main:sv <0x3d228bc6>
streams: 1, words: 1089
Building instance specific data structures.
Loading native compiled code: .................... Done
Design hierarchy summary:
Instances Unique
Modules: 1 1
Registers: 1 1
Initial blocks: 1 1
Compilation units: 1 1
Writing initial simulation snapshot: worklib.main:sv
Loading snapshot worklib.main:sv .................... Done
ncsim> source /tools/opt/cadence/INCISIV13.10.008/tools/inca/files/ncsimrc
ncsim> run
PASSED
ncsim: *W,RNQUIE: Simulation is complete.
ncsim> exit
On Thu, Dec 12, 2013 at 3:39 AM, Stephen Williams <st...@ic...> wrote:
> -----BEGIN PGP SIGNED MESSAGE-----
> Hash: SHA1
>
> This is actually a set of tests that check my understanding of
> how the $root scope should work for certain types of typedefs.
> I've encountered syntax like this in customer code so I'm pretty
> sure it is correct, but I'd like to have these programs in
> particular checked, just to be sure.
>
> Thanks,
>
> - --
> Steve Williams "The woods are lovely, dark and deep.
> steve at icarus.com But I have promises to keep,
> http://www.icarus.com and lines to code before I sleep,
> http://www.picturel.com And lines to code before I sleep."
> -----BEGIN PGP SIGNATURE-----
> Version: GnuPG v2.0.19 (GNU/Linux)
> Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/
>
> iEYEARECAAYFAlKpIegACgkQrPt1Sc2b3ilsGQCglFv7pZmhGljFNux1xuEkAJSE
> sUwAoOpBTRj20J/UzSDVbhZq0qFuiW0k
> =SdV2
> -----END PGP SIGNATURE-----
>
>
> ------------------------------------------------------------------------------
> Rapidly troubleshoot problems before they affect your business. Most IT
> organizations don't have a clear picture of how application performance
> affects their revenue. With AppDynamics, you get 100% visibility into your
> Java,.NET, & PHP application. Start your 15-day FREE TRIAL of AppDynamics
> Pro!
> http://pubads.g.doubleclick.net/gampad/clk?id=84349831&iu=/4140/ostg.clktrk
> _______________________________________________
> Iverilog-devel mailing list
> Ive...@li...
> https://lists.sourceforge.net/lists/listinfo/iverilog-devel
>
>
|
|
From: Stephen W. <st...@ic...> - 2013-12-12 02:39:44
|
-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA1 This is actually a set of tests that check my understanding of how the $root scope should work for certain types of typedefs. I've encountered syntax like this in customer code so I'm pretty sure it is correct, but I'd like to have these programs in particular checked, just to be sure. Thanks, - -- Steve Williams "The woods are lovely, dark and deep. steve at icarus.com But I have promises to keep, http://www.icarus.com and lines to code before I sleep, http://www.picturel.com And lines to code before I sleep." -----BEGIN PGP SIGNATURE----- Version: GnuPG v2.0.19 (GNU/Linux) Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/ iEYEARECAAYFAlKpIegACgkQrPt1Sc2b3ilsGQCglFv7pZmhGljFNux1xuEkAJSE sUwAoOpBTRj20J/UzSDVbhZq0qFuiW0k =SdV2 -----END PGP SIGNATURE----- |
|
From: Cary R. <cy...@ya...> - 2013-12-05 17:50:17
|
To dump an array word Icarus needs to escape the name so it is compatible with the VCD dump format. That's what \data[0][31:0] is. It is the zeroth 32-bit word of the data array. Because an escaped name and an array name could now conflict Icarus produces the warning. It would be best if it could check for an escaped identifier conflict and only print a message when there is a problem, but as I remember this was not possible. We chose to use escaped identifiers so that all the dumpers could handle array words. The other common choice is to only support them using a special dump command that only works with certain dump formats. I agree it would be nice if we could make the warning more accurate, but we are usually busy working on other things so minor annoyances that appear to be complicated to fix do not often get fixed. As I remember, and it has been a number of years, the issue is if you search for the escaped identifier it find the array element and there is no way in the VPI to search for the next occurrence. It's possible that finding the array element in the Icarus search by name implementation is a bug. Cary On Thursday, December 5, 2013 9:00 AM, Victor Lyuboslavsky <vi...@vi...> wrote: Yes, the warning seems worrisome. However, everything seems to be working fine: http://www.edaplayground.com/s/4/520 On Thu, Dec 5, 2013 at 8:12 AM, gon1332 <g1a...@ya...> wrote: Hi! > >I try to dump an array (reg [31:0] data [31:0]) but I can't do it >successfully. >I've tried the way that is in the wiki: > >for (idx = 0; idx < 32; idx = idx + 1) $dumpvars(0, >cpu_tb.cpu0.cpu_dp.cpu_regs.data[idx]); > >It works, but 2 things happen. >1] A warning shows up: VCD warning: array word >cpu_tb.cpu0.cpu_dp.cpu_regs.data[0] will conflict with an escaped >identifier. >2] In GTKWave I have something like this in SST window: \data[0][31:0] > >Is there any solution about that? > >Thanks in advance and sorry for my English. > >------------------------------------------------------------------------------ >Sponsored by Intel(R) XDK >Develop, test and display web and hybrid apps with a single code base. >Download it for free now! >http://pubads.g.doubleclick.net/gampad/clk?id=111408631&iu=/4140/ostg.clktrk >_______________________________________________ >Iverilog-devel mailing list >Ive...@li... >https://lists.sourceforge.net/lists/listinfo/iverilog-devel > > ------------------------------------------------------------------------------ Sponsored by Intel(R) XDK Develop, test and display web and hybrid apps with a single code base. Download it for free now! http://pubads.g.doubleclick.net/gampad/clk?id=111408631&iu=/4140/ostg.clktrk _______________________________________________ Iverilog-devel mailing list Ive...@li... https://lists.sourceforge.net/lists/listinfo/iverilog-devel |
|
From: Victor L. <vi...@vi...> - 2013-12-05 17:12:54
|
Yes, the warning seems worrisome. However, everything seems to be working fine: http://www.edaplayground.com/s/4/520 On Thu, Dec 5, 2013 at 8:12 AM, gon1332 <g1a...@ya...> wrote: > Hi! > > I try to dump an array (reg [31:0] data [31:0]) but I can't do it > successfully. > I've tried the way that is in the wiki: > > for (idx = 0; idx < 32; idx = idx + 1) $dumpvars(0, > cpu_tb.cpu0.cpu_dp.cpu_regs.data[idx]); > > It works, but 2 things happen. > 1] A warning shows up: VCD warning: array word > cpu_tb.cpu0.cpu_dp.cpu_regs.data[0] will conflict with an escaped > identifier. > 2] In GTKWave I have something like this in SST window: \data[0][31:0] > > Is there any solution about that? > > Thanks in advance and sorry for my English. > > > ------------------------------------------------------------------------------ > Sponsored by Intel(R) XDK > Develop, test and display web and hybrid apps with a single code base. > Download it for free now! > > http://pubads.g.doubleclick.net/gampad/clk?id=111408631&iu=/4140/ostg.clktrk > _______________________________________________ > Iverilog-devel mailing list > Ive...@li... > https://lists.sourceforge.net/lists/listinfo/iverilog-devel > > |
|
From: gon1332 <g1a...@ya...> - 2013-12-05 14:12:26
|
Hi! I try to dump an array (reg [31:0] data [31:0]) but I can't do it successfully. I've tried the way that is in the wiki: for (idx = 0; idx < 32; idx = idx + 1) $dumpvars(0, cpu_tb.cpu0.cpu_dp.cpu_regs.data[idx]); It works, but 2 things happen. 1] A warning shows up: VCD warning: array word cpu_tb.cpu0.cpu_dp.cpu_regs.data[0] will conflict with an escaped identifier. 2] In GTKWave I have something like this in SST window: \data[0][31:0] Is there any solution about that? Thanks in advance and sorry for my English. |