You can subscribe to this list here.
| 2008 |
Jan
(98) |
Feb
(33) |
Mar
(60) |
Apr
(126) |
May
(186) |
Jun
(65) |
Jul
(19) |
Aug
(95) |
Sep
(86) |
Oct
(81) |
Nov
(46) |
Dec
(87) |
|---|---|---|---|---|---|---|---|---|---|---|---|---|
| 2009 |
Jan
(47) |
Feb
(79) |
Mar
(138) |
Apr
(44) |
May
(113) |
Jun
(133) |
Jul
(59) |
Aug
(84) |
Sep
(87) |
Oct
(65) |
Nov
(51) |
Dec
(141) |
| 2010 |
Jan
(63) |
Feb
(22) |
Mar
(28) |
Apr
(41) |
May
(59) |
Jun
(18) |
Jul
(7) |
Aug
(11) |
Sep
(85) |
Oct
(28) |
Nov
(51) |
Dec
(16) |
| 2011 |
Jan
(29) |
Feb
(35) |
Mar
(65) |
Apr
(106) |
May
(58) |
Jun
(8) |
Jul
(34) |
Aug
(52) |
Sep
(15) |
Oct
(32) |
Nov
(81) |
Dec
(69) |
| 2012 |
Jan
(50) |
Feb
(18) |
Mar
(47) |
Apr
(21) |
May
(12) |
Jun
(27) |
Jul
(4) |
Aug
(31) |
Sep
(15) |
Oct
(31) |
Nov
(2) |
Dec
(13) |
| 2013 |
Jan
(6) |
Feb
(1) |
Mar
(4) |
Apr
(7) |
May
(30) |
Jun
(7) |
Jul
(53) |
Aug
(60) |
Sep
(30) |
Oct
(38) |
Nov
(20) |
Dec
(12) |
| 2014 |
Jan
(8) |
Feb
(21) |
Mar
(15) |
Apr
(13) |
May
(1) |
Jun
(5) |
Jul
(23) |
Aug
(57) |
Sep
(7) |
Oct
(9) |
Nov
(32) |
Dec
(45) |
| 2015 |
Jan
(35) |
Feb
(16) |
Mar
(29) |
Apr
(20) |
May
(55) |
Jun
(37) |
Jul
(5) |
Aug
(25) |
Sep
(2) |
Oct
(3) |
Nov
(6) |
Dec
(8) |
| 2016 |
Jan
(23) |
Feb
(15) |
Mar
(39) |
Apr
(9) |
May
(4) |
Jun
(11) |
Jul
(5) |
Aug
(1) |
Sep
(1) |
Oct
(3) |
Nov
(12) |
Dec
(1) |
| 2017 |
Jan
(1) |
Feb
(4) |
Mar
(7) |
Apr
(3) |
May
|
Jun
|
Jul
|
Aug
|
Sep
(4) |
Oct
(13) |
Nov
(6) |
Dec
(4) |
| 2018 |
Jan
(26) |
Feb
(4) |
Mar
(5) |
Apr
(6) |
May
(1) |
Jun
(2) |
Jul
(9) |
Aug
|
Sep
(1) |
Oct
(5) |
Nov
|
Dec
(1) |
| 2019 |
Jan
(8) |
Feb
|
Mar
(6) |
Apr
|
May
|
Jun
(6) |
Jul
|
Aug
(40) |
Sep
(7) |
Oct
(23) |
Nov
(16) |
Dec
(8) |
| 2020 |
Jan
(3) |
Feb
(15) |
Mar
|
Apr
|
May
(27) |
Jun
(7) |
Jul
(2) |
Aug
(9) |
Sep
(32) |
Oct
(23) |
Nov
(6) |
Dec
(3) |
| 2021 |
Jan
(10) |
Feb
(1) |
Mar
(4) |
Apr
|
May
|
Jun
(2) |
Jul
|
Aug
|
Sep
|
Oct
|
Nov
|
Dec
|
| 2022 |
Jan
(3) |
Feb
|
Mar
|
Apr
(2) |
May
|
Jun
|
Jul
|
Aug
|
Sep
|
Oct
|
Nov
|
Dec
|
| 2023 |
Jan
(2) |
Feb
|
Mar
(4) |
Apr
|
May
|
Jun
|
Jul
|
Aug
|
Sep
|
Oct
|
Nov
|
Dec
|
|
From: Stephen W. <st...@ic...> - 2014-03-19 22:50:24
|
-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA1 This may be a Cary and/or Tony question. In my day job, we are running long simulations using FST traces. It appears that the dumper is cutting files off at 1Gig, though. Is this a feature? What's weird, it seems to continue in a fresh file, but it is called system_001.lxt, instead of .fst. Is this correct? Is there a way to adjust this behavior? - -- Steve Williams "The woods are lovely, dark and deep. steve at icarus.com But I have promises to keep, http://www.icarus.com and lines to code before I sleep, http://www.picturel.com And lines to code before I sleep." -----BEGIN PGP SIGNATURE----- Version: GnuPG v2.0.19 (GNU/Linux) Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/ iEYEARECAAYFAlMqHygACgkQrPt1Sc2b3ilgzQCgiY1HMsJfZ3FiHTn+utcgcnUM RBMAnj4nX3VGukZBx501m28H58Z/HiIp =OH1p -----END PGP SIGNATURE----- |
|
From: Martin W. <mai...@ma...> - 2014-03-16 10:55:11
|
Martin Whitaker wrote: > To expand a bit on what Steve said, for each library component you need to > create a module that just defines the input/output pins of that component. For > example: > > module 74LS245( > input nEN, > input DIR, > inout [8:1] A, > inout [8:1] B > ); > endmodule > And of course, to be legal Verilog, the module name must start with a letter or an underscore, so you would need to change this example to get it to compile. |
|
From: Martin W. <mai...@ma...> - 2014-03-16 09:57:34
|
To expand a bit on what Steve said, for each library component you need to
create a module that just defines the input/output pins of that component. For
example:
module 74LS245(
input nEN,
input DIR,
inout [8:1] A,
inout [8:1] B
);
endmodule
To create a library, you can either create a separate file for each component
in a common directory and use the -y command line option to get iverilog to
search that directory (the file names must match the module names for this to
work), or you can put a number of components in a single file and use the -v
command line option to get iverilog to read that file and extract whatever
components are needed.
One word of warning - Verilog does not enforce port directionality (e.g. it is
perfectly legal to connect two output ports together), so you would need the
backend to perform electrical rule checking.
Stephen Williams wrote:
> -----BEGIN PGP SIGNED MESSAGE-----
> Hash: SHA1
>
>
> I encountered the same issue with the "pcb" code generator,
> which is intended to do exactly what you are trying to do,
> but target PCB instead of Kicad. My conclusion at the time
> was it was best to make an empty module to act as a place-
> holder. This is handy anyhow as a place to attach default
> attributes such as footprint information.
>
> On 03/13/2014 04:17 PM, Cotton Seed wrote:
>> Is there a mechanism in iverlog to elaborate a design that
>> instantiates an undefined/external module? Obviously, I don't
>> intend to simulate it. I'm potentially interested in using verilog
>> rather than schematic capture to do PCB design. There would need
>> to be a library of discrete components, but I'm not sure how to
>> declare such a thing in verilog. (I'm still relatively new to
>> verilog. My apologies if my question is naive.) A backend would
>> convert the iverlog netlist to a netlist for something like Kicad.
>>
>> Best, Cotton
>
>
>
> - --
> Steve Williams "The woods are lovely, dark and deep.
> steve at icarus.com But I have promises to keep,
> http://www.icarus.com and lines to code before I sleep,
> http://www.picturel.com And lines to code before I sleep."
> -----BEGIN PGP SIGNATURE-----
> Version: GnuPG v2.0.19 (GNU/Linux)
> Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/
>
> iEYEARECAAYFAlMk94gACgkQrPt1Sc2b3ims7QCffBsfLV7yePC+ZLft6V4WuPn5
> f/EAoJG8tsgl/q1KktI4hyiSv09evqdW
> =56oG
> -----END PGP SIGNATURE-----
>
> ------------------------------------------------------------------------------
> Learn Graph Databases - Download FREE O'Reilly Book
> "Graph Databases" is the definitive new guide to graph databases and their
> applications. Written by three acclaimed leaders in the field,
> this first edition is now available. Download your free book today!
> http://p.sf.net/sfu/13534_NeoTech
> _______________________________________________
> Iverilog-devel mailing list
> Ive...@li...
> https://lists.sourceforge.net/lists/listinfo/iverilog-devel
>
|
|
From: Stephen W. <st...@ic...> - 2014-03-16 01:00:03
|
-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA1 I encountered the same issue with the "pcb" code generator, which is intended to do exactly what you are trying to do, but target PCB instead of Kicad. My conclusion at the time was it was best to make an empty module to act as a place- holder. This is handy anyhow as a place to attach default attributes such as footprint information. On 03/13/2014 04:17 PM, Cotton Seed wrote: > Is there a mechanism in iverlog to elaborate a design that > instantiates an undefined/external module? Obviously, I don't > intend to simulate it. I'm potentially interested in using verilog > rather than schematic capture to do PCB design. There would need > to be a library of discrete components, but I'm not sure how to > declare such a thing in verilog. (I'm still relatively new to > verilog. My apologies if my question is naive.) A backend would > convert the iverlog netlist to a netlist for something like Kicad. > > Best, Cotton - -- Steve Williams "The woods are lovely, dark and deep. steve at icarus.com But I have promises to keep, http://www.icarus.com and lines to code before I sleep, http://www.picturel.com And lines to code before I sleep." -----BEGIN PGP SIGNATURE----- Version: GnuPG v2.0.19 (GNU/Linux) Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/ iEYEARECAAYFAlMk94gACgkQrPt1Sc2b3ims7QCffBsfLV7yePC+ZLft6V4WuPn5 f/EAoJG8tsgl/q1KktI4hyiSv09evqdW =56oG -----END PGP SIGNATURE----- |
|
From: Cotton S. <co...@al...> - 2014-03-13 23:16:58
|
Is there a mechanism in iverlog to elaborate a design that instantiates an undefined/external module? Obviously, I don't intend to simulate it. I'm potentially interested in using verilog rather than schematic capture to do PCB design. There would need to be a library of discrete components, but I'm not sure how to declare such a thing in verilog. (I'm still relatively new to verilog. My apologies if my question is naive.) A backend would convert the iverlog netlist to a netlist for something like Kicad. Best, Cotton |
|
From: Michael S. <mic...@gm...> - 2014-03-13 04:06:50
|
After changing Q from wire to reg both ncsim and vcs passed. Best regards, Michael Strelnikov On 13 March 2014 13:58, Stephen Williams <st...@ic...> wrote: > -----BEGIN PGP SIGNED MESSAGE----- > Hash: SHA1 > > I cannot find any clarity on this in the IEEE1800 LRM. It seems > to imply that continuous assignments of unpacked arrays are OK, > as long as there is only a single driver. The attached test > program tries to test that. Of course, the current Icarus Verilog > reports an error on this, but I think big name SystemVerilog > compilers will handle it. > > Can someone test this for me? > > > - -- > Steve Williams "The woods are lovely, dark and deep. > steve at icarus.com But I have promises to keep, > http://www.icarus.com and lines to code before I sleep, > http://www.picturel.com And lines to code before I sleep." > -----BEGIN PGP SIGNATURE----- > Version: GnuPG v2.0.19 (GNU/Linux) > Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/ > > iEYEARECAAYFAlMhHt0ACgkQrPt1Sc2b3imJpACfbu5Nem2KlocP6T9LD+q02xX1 > PsEAn2LMuDAVn161GZ2N8R/r8B9ZtuWG > =fmRV > -----END PGP SIGNATURE----- > > > ------------------------------------------------------------------------------ > Learn Graph Databases - Download FREE O'Reilly Book > "Graph Databases" is the definitive new guide to graph databases and their > applications. Written by three acclaimed leaders in the field, > this first edition is now available. Download your free book today! > http://p.sf.net/sfu/13534_NeoTech > _______________________________________________ > Iverilog-devel mailing list > Ive...@li... > https://lists.sourceforge.net/lists/listinfo/iverilog-devel > > |
|
From: Stephen W. <st...@ic...> - 2014-03-13 02:58:49
|
-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA1 I cannot find any clarity on this in the IEEE1800 LRM. It seems to imply that continuous assignments of unpacked arrays are OK, as long as there is only a single driver. The attached test program tries to test that. Of course, the current Icarus Verilog reports an error on this, but I think big name SystemVerilog compilers will handle it. Can someone test this for me? - -- Steve Williams "The woods are lovely, dark and deep. steve at icarus.com But I have promises to keep, http://www.icarus.com and lines to code before I sleep, http://www.picturel.com And lines to code before I sleep." -----BEGIN PGP SIGNATURE----- Version: GnuPG v2.0.19 (GNU/Linux) Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/ iEYEARECAAYFAlMhHt0ACgkQrPt1Sc2b3imJpACfbu5Nem2KlocP6T9LD+q02xX1 PsEAn2LMuDAVn161GZ2N8R/r8B9ZtuWG =fmRV -----END PGP SIGNATURE----- |
|
From: Cary R. <cy...@ya...> - 2014-03-06 19:54:36
|
When I looked at this a few years ago it seemed like the GPU code/data model was too restrictive to provide much benefit. The newer GPUs may have more flexibility so realize my comments/conclusions may be slightly out of date. I'm not certain how much benefit you can get from a GPU with an event driven simulation. You may be able to speed up specific operations if they can be forced to fit the GPU code/data model (e.g. large vector multiplication, division, modulus, power, etc. may benefit). If the non-determinism and partitioning can be dealt with multi-threaded (multi-CPU) simulations could be beneficial, but that is a change relative to your original direction. If you want to stick with a GPU approach you can create a simple code example using the current vvp_vector2_t class to test the speed of the various operations with various width data and then see if using the same vvp_vector2_t input data you can make a faster operation using the GPU for wide vector operations (vectors that have more than BITS_PER_WORD bits). The procedural vector operators are currently in flux since Steve is currently changing them to be stack based. When he is done with this they should also be looked at. The current operators may not be fully optimized so keep that in mind when looking at this (e.g. it may be better to optimize the current operator vs going to a GPU approach). Since this is likely a learning/educational endeavor for you this may not be that important, but you do need to keep it in mind regarding any conclusions you make. The most valid conclusion would be based on the comparison of two fully optimized routines. No matter your conclusion we would benefit if the current routines could be optimized. On Wednesday, March 5, 2014 10:56 PM, Arun P <aru...@gm...> wrote: Thanks a lot Jared and Steve for your kind response. Due to time constrain , I thought I can look for a function or block where the complex computation occurs. Now, as you have suggested I want to understand how the vvp runtime works. Is there any proper documentation to understand the flow of the simulation process ? so that I can know where the computation process occurs.( Is there any function or file involving complex computation ? ) Looking from the higher level , this might not give significant performance gain. But I think I can start with this to explore GPU processing. Looking for your suggestions. Thanks, Arun. ------------------------------------------------------------------------------ Subversion Kills Productivity. Get off Subversion & Make the Move to Perforce. With Perforce, you get hassle-free workflows. Merge that actually works. Faster operations. Version large binaries. Built-in WAN optimization and the freedom to use Git, Perforce or both. Make the move to Perforce. http://pubads.g.doubleclick.net/gampad/clk?id=122218951&iu=/4140/ostg.clktrk _______________________________________________ Iverilog-devel mailing list Ive...@li... https://lists.sourceforge.net/lists/listinfo/iverilog-devel |
|
From: Arun P <aru...@gm...> - 2014-03-06 06:56:32
|
Thanks a lot Jared and Steve for your kind response. Due to time constrain , I thought I can look for a function or block where the complex computation occurs. Now, as you have suggested I want to understand how the vvp runtime works. Is there any proper documentation to understand the flow of the simulation process ? so that I can know where the computation process occurs.( Is there any function or file involving complex computation ? ) Looking from the higher level , this might not give significant performance gain. But I think I can start with this to explore GPU processing. Looking for your suggestions. Thanks, Arun. |
|
From: Stephen W. <st...@ic...> - 2014-03-05 16:37:15
|
-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA1 On 03/04/2014 09:41 PM, Arun P wrote: > What is the functionality of "of_CMPS( )" AND "of_MOD_S ( ) > " functions in "vthread.cc" file . They implement the %cmp/s and %mod/s instructions, respectively. See the opcodes.txt file in the vvp/ directory for a description of all the opcodes. A word of warning: I'm doing a lot of work in that general area, with many opcodes being replaced or rewritten so that the virtual machine handling of 4-value vectors is handled differently. Keep that in mind. Also, Jared has posted a response that you maybe should read. I am getting the impression that you may be in a bit over your head. No shame in that, this is very specialized code, but you may want to step back a bit and consider what you are getting yourself into. Another point: You may want to try to understand a bit more about how the runtime works, and the nature of GPU processing, and ask yourself, realistically, how GPU processing (which I think is SIMD) may even apply to this problem. - -- Steve Williams "The woods are lovely, dark and deep. steve at icarus.com But I have promises to keep, http://www.icarus.com and lines to code before I sleep, http://www.picturel.com And lines to code before I sleep." -----BEGIN PGP SIGNATURE----- Version: GnuPG v2.0.19 (GNU/Linux) Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/ iEYEARECAAYFAlMXUq8ACgkQrPt1Sc2b3ikwNgCgufemFLohyhPU+Gqe83BDPpRk J6UAn05oDKBn1AxByA4foiZF1zGrztCr =qjst -----END PGP SIGNATURE----- |
|
From: Jared C. <jar...@gm...> - 2014-03-05 06:30:19
|
Arun, I think trying to accelerate Icarus using a GPU is an interesting (maybe a bit ambitious) project, and I certainly don't want to discourage you from giving it a go. However, might I suggest a change of approach. I'm no expert on the internals of the vvp runtime (I'm more a user of the project than a developer despite dabbling a bit here and there), but I really doubt that you are going to achieve any speed up by trying to take individual functions in the code and offloading them to a GPU. The cost of communication between the CPU and GPU requires a much more granular approach to using GPUs. Might I suggest that you first learn the basics of the vvp assembly language and the overall architecture of how the runtime executes the code. After that do some research of techniques described in published literature to accelerate logic simulation on GPUs (maybe start with a search of "logic simulation gpu" on scholar.google.com, read a few interesting looking papers, then look through the cited works in those papers for others). Then think about how any of those techniques could be used or adapted to work with the vvp runtime (or they may inspire a novel approach that works better with Icarus, but at least you'll have some background). Another place to look is the "Horrible Idea" page on the iverilog wiki ( http://iverilog.wikia.com/wiki/A_Horrible_Idea_Multithreaded_Verilog_Simulator). Would that approach lend itself to acceleration on a GPU? (I have no idea...) I wouldn't be surprised if the initial artifact would be a near re-write of vvp that only performs a tiny subset of the functionality as a proof of concept. Again, I don't mean to discourage your desire to do this project. I just thought I would offer some thoughts on an alternative approach to making it happen. (Although I would love to be proven wrong that there is a nice little box that can be pulled out of the simulator and put into a GPU for performance gains). Jared On Tue, Mar 4, 2014 at 9:41 PM, Arun P <aru...@gm...> wrote: > What is the functionality of "of_CMPS( )" AND "of_MOD_S ( ) " > functions in "vthread.cc" file . > > I am trying understand those functions to make it parallel. Looking for > your help. > > Thanks, > Arun. > > > ------------------------------------------------------------------------------ > Subversion Kills Productivity. Get off Subversion & Make the Move to > Perforce. > With Perforce, you get hassle-free workflows. Merge that actually works. > Faster operations. Version large binaries. Built-in WAN optimization and > the > freedom to use Git, Perforce or both. Make the move to Perforce. > > http://pubads.g.doubleclick.net/gampad/clk?id=122218951&iu=/4140/ostg.clktrk > _______________________________________________ > Iverilog-devel mailing list > Ive...@li... > https://lists.sourceforge.net/lists/listinfo/iverilog-devel > > |
|
From: Arun P <aru...@gm...> - 2014-03-05 05:41:56
|
What is the functionality of "of_CMPS( )" AND "of_MOD_S ( ) " functions in "vthread.cc" file . I am trying understand those functions to make it parallel. Looking for your help. Thanks, Arun. |
|
From: Stephen W. <st...@ic...> - 2014-03-05 04:43:20
|
-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA1 Tonight I've pushed more updates to the vec4 stack. I'm at the point now where the vast majority of the regression tests pass. Those that don't are interesting and in some cases marginal, and I'm considering merging the vec4-stack into master and accepting a few new bug reports. There is some code cleanup to do. I handled a lot of the changes by ifdef'ing old code out (so that it can absorb mergings) and putting the new code in. After the merge, a lot of dead code will become ripe for removal. Also, I expect some cleanup, bug fixes, and performance work will need to be done. I've wanted to make this change for a long while now, so I'm eager to get it merged into master, but I'm open to advice/suggestions on how to proceed. I think one thing is for people to start trying out this branch and getting a sense of where we are at. The work is on the vec4-stack branch of the iverilog repository, and the vec4-stack branch of the ivtest test suite repository. - -- Steve Williams "The woods are lovely, dark and deep. steve at icarus.com But I have promises to keep, http://www.icarus.com and lines to code before I sleep, http://www.picturel.com And lines to code before I sleep." -----BEGIN PGP SIGNATURE----- Version: GnuPG v2.0.19 (GNU/Linux) Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/ iEYEARECAAYFAlMWq1wACgkQrPt1Sc2b3in7pgCgv9RY+WFKbDzYyN7b3i3OwHDM 10AAoJvef8V7qsqvgHTpmCliZttt5SX5 =bVsl -----END PGP SIGNATURE----- |
|
From: Stephen W. <st...@ic...> - 2014-03-01 17:10:54
|
-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA1 I've updated the vecr-stack branch. I've merged the current master changes that have been happening, and I've fixed up some expression width issues. This is getting pretty close to the master, now. There are only about a dozen tests left that pass the master and not the vec4 branch. One visible change has been that the vec4 branch hews more closely to the standard for unsized numbers that are narrower then 32bits. This has been a nagging issue for many people, so I'm taking this opportunity to relent. Unsized literals will be widened to at least 32bits, although I'll still allow for more if needed to preserve the value. There have been reports that the vec4-stack branch runs slower, but I think there is lots of room for optimization, so I'm not worried about that yet. Overall, I think the result is cleaner and will be faster after some obvious inefficiencies are cleaned up. I want to merge this into master soon, because it is getting increasingly difficult to maintain separate master and vec4 branches. - -- Steve Williams "The woods are lovely, dark and deep. steve at icarus.com But I have promises to keep, http://www.icarus.com and lines to code before I sleep, http://www.picturel.com And lines to code before I sleep." -----BEGIN PGP SIGNATURE----- Version: GnuPG v2.0.19 (GNU/Linux) Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/ iEYEARECAAYFAlMSFI4ACgkQrPt1Sc2b3ilJIACguX1WfHD+m9RpfhjBt758fgrw OK4AoKi9XtIY3oOn8Di9ZEWGbKhjmbvq =QEIG -----END PGP SIGNATURE----- |
|
From: Arun P <aru...@gm...> - 2014-02-26 06:03:38
|
Oh OK OK. Thank you , Guy. |
|
From: Guy H. <ghu...@gm...> - 2014-02-25 16:25:29
|
It does not currently support GPUs. It does allow converting serial code to parallel code with minimal effort and allows you to easily switch back and forth between serial and parallel for debugging, which may be useful in the short term even if you don't use it in the end. The Wikipedia page has a good overview: http://en.wikipedia.org/wiki/OpenMP Guy On Monday, February 24, 2014, Arun P <aru...@gm...> wrote: > Does OpenMP support GPU ? > |
|
From: Arun P <aru...@gm...> - 2014-02-25 10:11:31
|
Hello Steve, When I profiled "vvp" with different verilog codes, I was able to find some functions like thr_get_bit( ), thr_put_bit( ), of_MOD_S( ), of_CMPS( ) got called several time and consumed relatively larger time. But those functions did not seem to be involving complex computations. I am looking for a compute intensive part to off-load to GPU rather than parallelizing the whole simulation process. What could be the possible function or file I can look at ? Thanks, Arun. |
|
From: Arun P <aru...@gm...> - 2014-02-24 10:12:22
|
Does OpenMP support GPU ? |
|
From: Guy H. <ghu...@gm...> - 2014-02-21 12:09:28
|
If you are looking at parallelization you should look at OpenMP as well. Support is built in to recent versions of GCC and would potentially address a wider market. On Wednesday, February 19, 2014, Arun P <aru...@gm...> wrote: > Hi All, > > This is Arun. I am trying to speed up Icarus Verilog with GPU parallel > processing for my academic project. I am looking for help . Which source > file I should start looking at or which part will be suitable to off-load > to GPU ? I am totally clueless. Please share your thoughts. > > Thanks, > Arun. > |
|
From: Arun P <aru...@gm...> - 2014-02-21 06:59:58
|
Thank You, Steve. |
|
From: Stephen W. <st...@ic...> - 2014-02-20 15:38:00
|
-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA1 Yes, the "vvp" executable is what you should be profiling. That is the run-time environment. On 02/20/2014 02:19 AM, Arun P wrote: > Hello Steve, > > Which executable should be used for profiling? ( I am using GPROF). > The one which is in the "vvp" directory? > > Thanks, Arun. > > > ------------------------------------------------------------------------------ > > Managing the Performance of Cloud-Based Applications > Take advantage of what the Cloud has to offer - Avoid Common > Pitfalls. Read the Whitepaper. > http://pubads.g.doubleclick.net/gampad/clk?id=121054471&iu=/4140/ostg.clktrk > > > > > _______________________________________________ Iverilog-devel > mailing list Ive...@li... > https://lists.sourceforge.net/lists/listinfo/iverilog-devel > - -- Steve Williams "The woods are lovely, dark and deep. steve at icarus.com But I have promises to keep, http://www.icarus.com and lines to code before I sleep, http://www.picturel.com And lines to code before I sleep." -----BEGIN PGP SIGNATURE----- Version: GnuPG v2.0.19 (GNU/Linux) Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/ iEYEARECAAYFAlMGIU4ACgkQrPt1Sc2b3inhqACbBShYMjAbOeA9PaMY5BgArVkA 5mIAnRoyCLGklYVP8Q09ESkO3yzn04mn =IcsA -----END PGP SIGNATURE----- |
|
From: Arun P <aru...@gm...> - 2014-02-20 10:19:19
|
Hello Steve, Which executable should be used for profiling? ( I am using GPROF). The one which is in the "vvp" directory? Thanks, Arun. |
|
From: Arun P <aru...@gm...> - 2014-02-20 05:08:33
|
Thank you , Steve. I am happy to see your reply. I am gonna start looking at the vvp directory. Cheers, Arun. |
|
From: Stephen W. <st...@ic...> - 2014-02-19 16:00:45
|
-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA1 The place to start is the "vvp" directory, which contains the run time engine. You will want to dig deep into that to see how the even queue works, and how the expression calculations work. Then you will need to think through exactly how you think you can divide up work between the host and the GPU. I'm being vague at first because I think your first step will be to figure out the generalities of how Icarus Verilog works. Write some programs. Run some profiles. On 02/18/2014 11:38 PM, Arun P wrote: > Hi All, > > This is Arun. I am trying to speed up Icarus Verilog with GPU > parallel processing for my academic project. I am looking for help > . Which source file I should start looking at or which part will be > suitable to off-load to GPU ? I am totally clueless. Please share > your thoughts. - -- Steve Williams "The woods are lovely, dark and deep. steve at icarus.com But I have promises to keep, http://www.icarus.com and lines to code before I sleep, http://www.picturel.com And lines to code before I sleep." -----BEGIN PGP SIGNATURE----- Version: GnuPG v2.0.19 (GNU/Linux) Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/ iEYEARECAAYFAlME1SUACgkQrPt1Sc2b3in0vQCfZS8duBJlcZMG/wl5x2KnYmU2 uuYAoMawRcFAYGck1ysGFcBZTTxz/hIq =4DDw -----END PGP SIGNATURE----- |
|
From: Iztok J. <izt...@gm...> - 2014-02-19 10:40:48
|
$ irun sv_unpacked_port.sv
irun: 13.10-s014: (c) Copyright 1995-2014 Cadence Design Systems, Inc.
file: sv_unpacked_port.sv
module worklib.test:sv
errors: 0, warnings: 0
module worklib.main:sv
errors: 0, warnings: 0
Caching library 'worklib' ....... Done
Elaborating the design hierarchy:
Top level design units:
main
Building instance overlay tables: .................... Done
Generating native compiled code:
worklib.main:sv <0x1e933575>
streams: 3, words: 2825
worklib.test:sv <0x6e825af1>
streams: 2, words: 349
Building instance specific data structures.
Loading native compiled code: .................... Done
Design hierarchy summary:
Instances Unique
Modules: 2 2
Registers: 5 5
Scalar wires: 1 -
Vectored wires: 3 -
Always blocks: 1 1
Initial blocks: 1 1
Pseudo assignments: 2 2
Writing initial simulation snapshot: worklib.main:sv
Loading snapshot worklib.main:sv .................... Done
ncsim> source /tools/opt/cadence/INCISIV13.10.014/tools/inca/files/ncsimrc
ncsim> run
PASSED
ncsim: *W,RNQUIE: Simulation is complete.
ncsim> exit
On Tue, Feb 18, 2014 at 6:05 PM, Stephen Williams <st...@ic...> wrote:
> -----BEGIN PGP SIGNED MESSAGE-----
> Hash: SHA1
>
> On 02/18/2014 02:13 AM, Iztok Jeras wrote:
> > The 'sv_unpacked_port.sv <http://sv_unpacked_port.sv>' needed some
> > more changes. Array sizes where only the size [width-1], not the
> > range [width-1:0] are specified, are only allowed for the unpacked
> > part of the array, so on the right side. Also the address was
> > missing from the list in the display line. I did not check the
> > intention of the test.
>
> OK, The sv_unpacked_port.sv test needed more work, so I've attached
> an update. This should fix it, and it should display "PASSED".
>
> Thanks for running these tests.
> - --
> Steve Williams "The woods are lovely, dark and deep.
> steve at icarus.com But I have promises to keep,
> http://www.icarus.com and lines to code before I sleep,
> http://www.picturel.com And lines to code before I sleep."
> -----BEGIN PGP SIGNATURE-----
> Version: GnuPG v2.0.19 (GNU/Linux)
> Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/
>
> iEYEARECAAYFAlMDksgACgkQrPt1Sc2b3imInwCaA96YLK2bnBHPI5diumfvgmWj
> 9u8AoLgMxE5ZyOZ4ONHrJ5PPCwCb6mby
> =kNj9
> -----END PGP SIGNATURE-----
>
>
> ------------------------------------------------------------------------------
> Managing the Performance of Cloud-Based Applications
> Take advantage of what the Cloud has to offer - Avoid Common Pitfalls.
> Read the Whitepaper.
>
> http://pubads.g.doubleclick.net/gampad/clk?id=121054471&iu=/4140/ostg.clktrk
> _______________________________________________
> Iverilog-devel mailing list
> Ive...@li...
> https://lists.sourceforge.net/lists/listinfo/iverilog-devel
>
>
|