Menu

#43 support for SystemVerilog "package"

closed
None
5
2013-05-14
2012-04-15
Iztok Jeras
No

Hi,

SystemVerilog "package" construct should be especially convenient for storing new structure and union data types.

Use the example code from: https://github.com/jeras/ivtest/tree/test_sv
iverilog -g2009 ivltests/sv_package.v && vvp a.out
or the attached file.

Regards,
Iztok Jeras

Discussion

  • Iztok Jeras

    Iztok Jeras - 2012-04-15

    example Verilog code

     
  • Cary R.

    Cary R. - 2013-05-14

    Steve pushed a patch about a month ago that allows this example to run under Icarus.

     
  • Cary R.

    Cary R. - 2013-05-14
    • status: open --> closed
    • assigned_to: Stephen Williams
    • Group: --> devel_(Next_Release)
     

Log in to post a comment.