Menu

#957 No support for SV compilation unit classes, tasks or functions

devel
closed-fixed
None
5
2014-10-02
2014-09-11
Cary R.
No

SystemVerilog has added support for classes, tasks and functions to be defined in the compilation unit scope. We currently have messages that this is not supported, but many pieces of SystemVerilog code use this feature (mostly classes). My assumption is that adding support for classes in the compilation unit scope will add most of what is needed to add functions and tasks so I am grouping them all together.

Attached are code examples that run correctly on other simulators.

3 Attachments

Discussion

  • Stephen Williams

    The sv_root_class.v function works in the current (2014-09-24) git master. I've added the test to the ivtest suite.

     
  • Stephen Williams

    • assigned_to: Stephen Williams
     
  • Stephen Williams

    This is now fixed in git master, as of 2014-10-02.

     
  • Stephen Williams

    • status: open --> closed-fixed
     

Log in to post a comment.