Menu

#9 dup_expr() const: Assertion `0' failed

v0.8
closed-out-of-date
nobody
5
2005-11-26
2005-10-31
Anonymous
No

When I try to compile the following verilog code Icarus
crashes with the assertion error:

ivl: netlist.cc:2155: virtual NetEBitSel* NetEBitSel::
dup_expr() const: Assertion `0' failed.

Command line to invoke compiler:
iverilog test.v

Icarus Version:
Icarus Verilog version 0.8.2 ($Name: v0_8_2 $)

Verilog Code:

module lpm_mult ( );

// INTERNAL REGISTER/SIGNAL DECLARATION
reg [1:0] i_prod;
reg [1:0] i_prod_s;

// LOCAL INTEGER DECLARATION
integer i;

// ALWAYS CONSTRUCT BLOCK
initial
begin

i_prod[0] = (1) ? i_prod_s[i] : 0;

end

endmodule // lpm_mult

Discussion

  • Stephen Williams

    Logged In: YES
    user_id=97566

    This bug does indeed exist in the 0.8 release, but is already
    fixed in CVS and in snapshots (0.9devel). I'll close this
    report and call it "Out of Date". Send an e-mail to me if
    you want to request that it be fixed in the 0.8 release.

     
  • Stephen Williams

    • status: open --> closed-out-of-date
     

Log in to post a comment.