• Holistically view your business data within a single solution. Icon
    Holistically view your business data within a single solution.

    For IT service providers and MSPs that need a data platform to manage their processes

    BrightGauge, a ConnectWise solution, was started in 2011 to fill a missing need in the small-to-medium IT Services industry: a better way to manage data and provide the value of work to clients. BrightGauge Software allows you to display all of your important business metrics in one place through the use of gauges, dashboards, and client reports. Used by more than 1,800 companies worldwide, BrightGauge integrates with popular business solutions on the market, like ConnectWise, Continuum, Webroot, QuickBooks, Datto, IT Glue, Zendesk, Harvest, Smileback, and so many more. Dig deeper into your data by adding, subtracting, multiplying, and dividing one metric against another. BrightGauge automatically computes these formulas for you. Want to show your prospects how quick you are to respond to tickets? Show off your data with embeddable gauges on public sites.
  • Rezku Point of Sale Icon
    Rezku Point of Sale

    Designed for Real-World Restaurant Operations

    Rezku is an all-inclusive ordering platform and management solution for all types of restaurant and bar concepts. You can now get a fully custom branded downloadable smartphone ordering app for your restaurant exclusively from Rezku.
  • 1

    MATRIX 1.4 VII

    MATRIX 1.4 Audio Power Amplifier Platform Release VII

    Downloads: 15 This Week
    Last Update:
    See Project
  • 2
    Quite Universal Circuit Simulator

    Quite Universal Circuit Simulator

    A circuit simulator with graphical user interface (GUI)

    Qucs is a circuit simulator with graphical user interface. The software aims to support all kinds of circuit simulation types, e.g. DC, AC, S-parameter, Transient, Noise and Harmonic Balance analysis. Pure digital simulations are also supported.
    Leader badge
    Downloads: 1,557 This Week
    Last Update:
    See Project
  • 3
    VeroRoute

    VeroRoute

    Qt based Veroboard, Perfboard, and PCB layout and routing application

    Cross-platform software for producing Veroboard (stripboard), Perfboard, and 1-layer or 2-layer PCB layouts. Automatically prevents short-circuits and checks for open-circuits. Pre-compiled versions available for MS Windows and 64-bit Linux Mint 20.3 (should also run on other 64-bit Linux systems that are based on Debian and support Qt version >= 5.12.8). Android APK available (tested on Android 7 and Android 10) and requires device resolution of at least 1280x800.
    Leader badge
    Downloads: 156 This Week
    Last Update:
    See Project
  • 4
    Digital Logic Design

    Digital Logic Design

    Digital Circuits Design and Simulation

    ... Combinational, Synchronous and Asynchronous Sequential Circuits. The circuit working can be analyzed by using output parts like LEDs, Seven Segment Display as well as CRT and digital Oscilloscope all provided in the software. This Software may be used by professionals, hobbyists and students alike. The teachers may incorporate this software in their courses like Digital Logic and Computer Design, Computer Architecture, Computer Organization and Embedded Systems.
    Leader badge
    Downloads: 98 This Week
    Last Update:
    See Project
  • Open LMS delivers an effective and engaging learning experience. Icon
    Open LMS delivers an effective and engaging learning experience.

    Open LMS is Open Source at its core. Migrating to Open LMS is simple and easy.

    As the largest commercial provider of hosting and support services for the open-source Moodle™ learning platform, we help organizations and institutions deliver great learning experiences without complexities
  • 5
    gpsim - The gnupic Simulator
    gpsim is an open sourced simulator for Microchip's PIC microcontrollers. It supports all three families of PICs: 12-bit, 14-bit, and 16-bit cores. See also gputils http://gputils.sourceforge.net/
    Leader badge
    Downloads: 64 This Week
    Last Update:
    See Project
  • 6
    Image To Gerber Converter

    Image To Gerber Converter

    Convert any image to gerber and drill files

    ... improvements and updating your design, as you will be able to make design modifications, add a silkscreen layer, resize drill diameters, create a soldermask layer to protect copper areas and to avoid accidental shorts, convert a single-side design into a double-side or multi-layer design,… all that just by editing your image with your preferred image editor.
    Leader badge
    Downloads: 48 This Week
    Last Update:
    See Project
  • 7
    Baya - SoC Integration Platform

    Baya - SoC Integration Platform

    Best in class SoC Integration Platform, IP-XACT, Verilog VHDL, UPF

    1. Comes with 200+ high level Tcl commands around SoC platform assembly 2. Easy to start - use the verilog2baya tool to convert existing SoC/SS into Baya 3. Adhoc and Interface based connections 4. Autoconnections 5. Rule based connections between component ports 6. A variety of SoC integration Methodologies 6.a. XLS/CSV Based connections 6.b. Port-to-Port Adhoc connections 6.c. IP-XACT and System Verilog Interface based connections 6.d. ... 7. Maintains a connectivity database...
    Downloads: 2 This Week
    Last Update:
    See Project
  • 8
    1. flattenverilog : Flattens the specified verilog module by removing the hierarchies. It works both for RTL and netlist. 2. preprocessverilog : Verilog Preprocessor to resolve macros like nested `ifdef , `define 3. createhierarchy : Verilog Hierarchy Creation Tool to group a list of instances in RTL or enlist. This creates a new wrapper by encapsulating the instance 4. flatteninstances : Flattens the given list of hierarchical instances- this removes hierarchy by pulling the contents...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 9
    jCLS

    jCLS

    The Component Library Sorcerer

    WARNING: This project is under hard development and not intended for productive use yet but only for discussion. jCLS helps to create and maintain fine detailed component libraries for EDA tools like Altium Designer. It provides tools for data generation for masses of single parts from only the most necessary informations. Having good maintained and rich described and voluptuous detailed component libraries needs normally masses of time, work and discipline. jCLS comes here to save you...
    Downloads: 0 This Week
    Last Update:
    See Project
  • Employee Growth Software Icon
    Employee Growth Software

    Say hi to bob, the HRIS that drives culture and engagement

    With clubs, you can understand and nurture the culture that exists in your business. Staff join together through shared interests, bringing the human to HR.
  • 10
    IP-XACT 2009/2014  Platform

    IP-XACT 2009/2014 Platform

    Smart GUI/Commandline tools to create IP-XACT( 2009/2014) files

    Smart GUI to create or update IP-XACT often needed for the IP packaging. It has capability create Bus Definitions from scratch to populate BusDef library. One can create IP-XACT Component, Design or Registers by importing Ip in System Verilog/Verilog-95/VHDL, instantiate Bus Interfaces with proper port maps and attributes as needed. Smart GUI to create IP-XACT Registers, Memory Maps, Address Blocks for IP- has feature to import XLS or Verilog . It has Tcl/Python API support...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 11
    ePnR

    ePnR

    ePnR is an IC block standard cell placement & routing tool

    ePnR is a simple Integrated Circuit (IC) block standard cell placement & routing tool. ePnR currently supports only circuit blocks using equal height standard cells arranged in one or more channels of user configurable length. Standard cells are described in a simple text based library (compliant with eLogSim). Placement follows initially the cell call order in the SPICE like circuit input netlist. However, a placement optimization, aiming at minimum weighted accumulated wire length...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 12
    IEC 60870-5 104 Protocol download

    IEC 60870-5 104 Protocol download

    IEC 104 RTU Server Client Simulator Source Code Library Win Linux

    v21.06.008 Complete implementation of iec 104 protocol standard including File transfer. Make your RTU, protocol converter, Gateway, HMI, Data concentrator compatible with iec 104. *Industry Proved * Worldwide Customers Download Evaluation Kit - IEC 104 Development Bundle In the Development Bundle, We included IEC 104 Server & Client Simulator, Windows & Linux...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 13
    JQM Java Quine McCluskey

    JQM Java Quine McCluskey

    JQM - Java Quine McCluskey for minimization of Boolean functions.

    Java Quine McCluskey implements the Quine McCluskey algorithm with Petrick’s Method (or the method of prime implicants) for minimization of Boolean functions. This software can be used both for learning and solving real problems. As a learning/teaching tool, it presents not only the results but also how the problem was solved as well as how to use Karnaugh Maps to solve the problem. Up to sixteen functions of sixteen variables can be minimized. A graphical interface is provided for entering...
    Downloads: 1 This Week
    Last Update:
    See Project
  • 14
    TinyCAD
    TinyCAD is a program for drawing electrical circuit diagrams commonly known as schematic drawings. It supports standard and custom symbol libraries. It supports PCB layout programs with several netlist formats and can also produce SPICE simulation netlists. It is also often used to draw one-line diagrams, block diagrams, and presentation drawings. The source code for TinyCAD is now on GitHub: https://github.com/matt123p/TinyCAD Online documentation can be found here: https://github.com...
    Leader badge
    Downloads: 1,133 This Week
    Last Update:
    See Project
  • 15
    eLogSim

    eLogSim

    Digital circuit simulator

    eLogSim is an event driven, 4-level (0,X,1,Z) digital circuit simulator. It uses a test oriented stimulus approach and offers a statistical (or exhaustive if it makes sense) fault simulation option. eLogSim has a simple GUI and is pre-compiled for Ubuntu 20, Mint 20, CentOS 8, openSUSE 15, FreeBSD 12, Solaris 11, Windows 10/11 & Raspbian/Raspberry PiOS Buster (32/64bit) & Ubuntu-MATE 20.04 (64 bit) operating systems. Cross platform & -network, concurrent fault simulation now available...
    Downloads: 3 This Week
    Last Update:
    See Project
  • 16
    simutron

    simutron

    AVR simulator IDE

    Electronic circuit simulator. Simple environment to run and debug firmware for AVR 8-bit microprocessors. Able to run arduino firmware. Internally this program uses the open source Simavr AVR Processor Simulator (https://github.com/buserror/simavr) and wraps all its functions in a GUI shell. Setups for firmware debugging scenarios can be created dynamically. Able to run 16MHz MCU with decent set of external parts in real time. In particular this can be used for development of CNC firmware...
    Leader badge
    Downloads: 9 This Week
    Last Update:
    See Project
  • 17
    Mod Direct Panoramic Spectrum Analyzer

    Mod Direct Panoramic Spectrum Analyzer

    Mod Direct Panoramic Spectrum Analyzer

    1. Added the ability to directly work with the chip (parameter "settings.ini" - Direct=1). 2. The possibility of cyclic writing/recording from realtime to a file and subsequent playback from it is added (double click of the left mouse button anywhere in the top spectrogram). The size of the MB file is specified in the settings file (Cyclic file size=100).
    Downloads: 5 This Week
    Last Update:
    See Project
  • 18
    Jumbocad

    Jumbocad

    This is a very powerful Schematic and PCB layout tool for Engineer

    This is a very powerful Schematic and PCB layout tool for electronic Engineer. It is very easy to use. Coming version will add the SPICE features as well as the 3D model. This tool is target for single user, so all the things such as Schematic, PCB layout, SPICE model, 3D models are all combined into a single project file "*.prj" in ZIP file format. Anyone should able to explore and see the structure of files using any zip tool.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 19
    bel_fft

    bel_fft

    FFT co-processor in Verilog based on the KISS FFT

    ... and the Wishbone bus are supported. However, bel_fft's architecture allows an easy adaptation to further bus architectures (e.g. AMBA AHB). It comes with a Java wizard to configure the co-processor and to generate all required files (e.g. twiddle ROMs). It comes with integration into Xilinx Vivado, EDK, and Altera QSYS and includes example designs for Xilinx Zynq and with PCI-Express core (including Linux driver and application). bel_fft is distributed under the GNU Lesser Public License 2.1.
    Downloads: 1 This Week
    Last Update:
    See Project
  • 20

    Kicad shematic library manager

    Kicad shematic library manager

    This is a first release of kicad schematic library manager. With this manager new schematic symbols can be created and or modified using spread sheet. The informations of component as description, documentation etc. can be modified as well. This manager enables moving components from one library to another one. The project source sode is hosted on: https://github.com/Filip83/kicad-lib-utils
    Downloads: 1 This Week
    Last Update:
    See Project
  • 21
    Project 2306 IDE Rad MacOS MCU DeveR

    Project 2306 IDE Rad MacOS MCU DeveR

    Electronic design and programming tools suite like Eagle, MpLab

    Currently Only MacOS is Present, PreAlpha means not Ready to use, Application is provided Without Strict Garantee, License not OSI. All others platform Windows, Linux, HaikuOS STILL under TEST, Dummy "Hello world" is provided instead Project2306 IDE : Application pour la programmation de Microcontroleurs et d' Application Electronique Project2306 IDE : for All whom want to Create and Develop on Embed Platform Software as Programming Tools suite and PCB Design Planned Features...
    Downloads: 1 This Week
    Last Update:
    See Project
  • 22

    dxf2pcb

    Convert DXF drawings of circuit boards to gEDA-PCB files.

    This Python script reads in a DXF (ascii) file and generates a PCB output compatible with PCB Designer, part of the gEDA suite. It is designed for two purposes: One is to generate a PCB snippet from a mechanical drawing (such as a board outline), the other is to produce element files from CAD drawings. PCB snippets are easily imported into an existing gEDA-PCB project using File -> Load Layout to Buffer. Generated element files are ready to use (except for special cases like no-paste flags...
    Downloads: 1 This Week
    Last Update:
    See Project
  • 23
    DraftCable
    ... like: 1.- You can double-click a cable in the diagram and define the wiring of that cable in a pop-up dialog. 2.- Also the parts have properties that define all the connector Jacks / Plugs (J/P) they have (e.g., J1 or P1 would appear at the part). 3.- Rack lay out tool. You can associate each part with its rack view equivalent. For example: you can associate a part that is a Server with a rack part of 4U height to place at the rack This software produces block diagrams and wiring sheets
    Downloads: 0 This Week
    Last Update:
    See Project
  • 24
    This project is a general AVR bootloader, for different type of AVR device, all you need to do is modify macro definition, and you don not need to modify the main program.
    Downloads: 17 This Week
    Last Update:
    See Project
  • 25
    Electronic Component API

    Electronic Component API

    Search electronic components, datasheets, stock, price, alternatives

    ... for component information in a variety of ways, including searching via description or part number. Queries to the Ciiva API return one or more data objects which contain information on manufacturer and supplier components, such as lifecycle, compliance, pricing, availability, substitutes/alternatives, datasheets, and more.
    Downloads: 0 This Week
    Last Update:
    See Project
  • Previous
  • You're on page 1
  • 2
  • 3
  • 4
  • Next