From: M O A. <m.a...@fc...> - 2004-07-28 09:58:58
|
Josh Stone wrote: > The provided VHDL is in synthesized form - i.e. it is nothing > more than component instantiations of LUT"s, FF"s, etc... > This is why they offer separate downloads for different FPGA > targets. I don"t think it would be trivial to port such code > to an alternate (or generalized) FPGA. I might be wrong, but the file "read_me.txt" (dated: 15th June 2004) of the KCPSM3 v1.3 states [note: KCPSM3 is interchangeable with PicoBlaze-3]: > [snip ... file descriptions] > kcpsm3.vhd > - The VHDL definition of the KCPSM3 processor. This > file is the primary design flow for implementation > and simulation. The use of this file is described > in the documentation. > > kcpsm3.ngc > - This is an alternative file defining the KCPSM3 > processor and would be used as a 'black box' in > a non VHDL design flow. > [snip] further more kcpsm3.vhd states (reformatted): > -- Format of this file. > -- > -- This file contains the definition of KCPSM3 > -- as one complete module with sections > -- created using generate loops. This 'flat' > -- approach has been adopted to decrease > -- the time taken to load the module into > -- simulators and the synthesis process. > -- > -- The module defines the implementation of the > -- logic using Xilinx primitives. > -- These ensure predictable synthesis results and > -- maximise the density of the implementation. > -- The Unisim Library is used to define Xilinx > -- primitives. It is also used during > -- simulation. The source can be viewed at > -- %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd > -- ------------------------------------------ > > -- Library declarations > -- Standard IEEE libraries > library IEEE; > use IEEE.STD_LOGIC_1164.ALL; > use IEEE.STD_LOGIC_ARITH.ALL; > use IEEE.STD_LOGIC_UNSIGNED.ALL; > library unisim; > use unisim.vcomponents.all; now the Xilinx architecture specific "bit" (or chunk!) is the unisim library. However, it *is* a library and thus *can* be rewritten! If anybody's interested, I@ll post the kcpsm3.vhd or put it up on my message board. interestingly Ken Chapman does say that he doesn't recommend changing the VHDL code. However, the KCPSM3 has been ported to a smaller device already (details on the Xilinx PicoBlaze user forum) Regarding any licensing issues: > > > PicoBlaze cores may only be implemented on Xilinx devices > > > do I remember this correctly? Back to the topic: > > You are correct, PicoBlaze runs only on Xilinx -- however it is > > available in VHDL form and surely there must be people out there > > that know VHDL well enough to make it device independent. > would it be legal to do so? I couldn"t find a licensing statement > (I didn"t look too long) and I thought I had once read the core > was legal to use on Xilinx devices only? The readme file included in the distribution doesn't mention any issues. Further more the user documentation (V1.1) states: "This module is not supported by general Xilinx Technical support as an official Xilinx product. Please refer any issues initially to the provider of the module. The author will gratefully receive any issues or potential continued improvements of the PicoBlaze microcontroller. Ken Chapman Senior Staff Engineer -Spartan FPGA Applications Specialist E-mail: pic...@xi..." Hence I am not worried about any licensing issues. However, I can email Ken Chapman directly to enquire (just in case) -- should I? thanks, Mischa -- Mischa Altmann m.a...@fc... +++ IMPORTANT NOTICE +++ This email may contain confidential information and will be protected by copyright. It is intended only for the use of the person(s) to whom it is addressed and any unauthorised use (including forwarding or dissemination the contents) may be unlawful. If you receive this email by mistake, please advise the sender immediately by using the reply facility on your email software and delete the email from your computer. Opinions, conclusions and other information in this email that do not relate to the official business of this organisation shall be understood as neither given nor endorsed by it. If this message forms part of a quotation for the supply of goods, the quotation is an invitation to treat only. No contract will come into effect until the Company issuing such quotation (or Filtronic plc or one of its subsidiaries on its behalf) issues an acknowledgment of order for the supply of such goods. The contract will be on the terms of such acknowledgment of order. Website address: http://www.filtroniccomponents.com +++ Message scanned using Sophos and MDaemon Anti Virus Products +++ |