Icarus Verilog is an open source Verilog compiler that supports the IEEE-1364 Verilog HDL including IEEE1364-2005 plus extensions.

Project Activity

See All Activity >

License

GNU General Public License version 2.0 (GPLv2)

Follow Icarus Verilog

Icarus Verilog Web Site

You Might Also Like
Nectar: Employee Recognition Software to Build Great Culture Icon
Nectar: Employee Recognition Software to Build Great Culture

Nectar is an employee recognition software built for the modern workforce.

Our 360 recognition & rewards platform enables everyone (peer to peer & manager to employees alike) to send meaningful recognition rooted in core values. Nectar has the most extensive rewards catalog so users can choose from company branded swag, Amazon products, gift cards or custom reward types. Integrate with your other tools like Slack and Teams to make sending recognition easy. We support top organizations like MLB, SHRM, Redfin, Heineken and more.
Rate This Project
Login To Rate This Project

User Ratings

★★★★★
★★★★
★★★
★★
9
0
0
0
0
ease 1 of 5 2 of 5 3 of 5 4 of 5 5 of 5 4 / 5
features 1 of 5 2 of 5 3 of 5 4 of 5 5 of 5 4 / 5
design 1 of 5 2 of 5 3 of 5 4 of 5 5 of 5 4 / 5
support 1 of 5 2 of 5 3 of 5 4 of 5 5 of 5 4 / 5

User Reviews

There are no 5 star reviews.

Additional Project Details

Operating Systems

MinGW/MSYS2, Linux, BSD, Mac

Intended Audience

Advanced End Users, Developers

User Interface

Command-line

Programming Language

C++, C, VHDL/Verilog, Yacc

Related Categories

C++ Electronic Design Automation (EDA) Software, C Electronic Design Automation (EDA) Software, VHDL/Verilog Electronic Design Automation (EDA) Software, Yacc Electronic Design Automation (EDA) Software

Registered

2005-10-04