Menu

#19 Help understanding argument file directives & errors in project files

v1.0_(example)
open
nobody
None
5
2015-12-01
2015-12-01
Dror K.
No

Hi
I've encountered several errors marked in project files (using SVEditor 1.8.3) which I don't understand. These all have to do with argument files and may or may not be bugs. More likely I just don't understand how to use the argument file. Started by importing an existing filelist used in simulations to the argument file and narrowed down some key recurring problems. These all have to do with the verification environment parsing, not design.
Attached are 3 test cases demonstrating these behaviors. They are representative of an existing, significantly more complicated and large work environment - so I can't just change the project files coding style.

  1. (testcase_01) Errors marked up in a file, but not showing up in Problems view or Project Explorer. UVM macros not recognized, even when using -mfcu. I noticed this is resolved by explicitly adding uvm_macros.svh to the argument file or by not including UVM library paths using -y option - but I don't understand this behavior. Can anyone explain what is happening?
  2. (testcase_03) Errors showing up when using guarded files (ifndef NAMEdefine NAME <file_content> `endif). UVM macros not recognized again + "Unbalanced pre-processor directive" error. Possibly an included file associated with wrong include hierarchy. So, why the "unbalanced" error and is there a way to better specify the include hierarchy I expect to correctly recognize UVM macros?
  3. (testcase_04) Errors showing up when a single file is `included from multiple "top" hierarchies. One top being desireable and listed in argument file and the other being irrelevant but obviously parsed (because it's in a directory under -y?). Are files under -y always parsed, even if not used? Is there a way to handle these errors?

All these issues seem to go around using -y and it behaving differently than I expect. Should I avoid -y entirely for anything that's not a path of strictly self-contained library files?
How can I make sure correct parsing order and association is kept to avoid errors?

3 Attachments

Discussion


Log in to post a comment.