Activity for SVEditor

  • Michael Rothermel Michael Rothermel posted a comment on ticket #26

    Hi Matthew, Excellent! Installation completed. Only just appreciated how vital your work is to mine. Thanks very much. Mick Rothermel On 17/08/2021 01:39, Matthew Ballance wrote: I've added a basic 'index.html' just to avoid Github reporting the 400 error. Please also confirm that you're able to install from the update site (ie add https://sveditor.github.io/update https://sveditor.github.io/update as an update site from which to install software). Thanks, Matthew [support-requests:#26] https://sourceforge.net/p/sveditor/support-requests/26/...

  • Erik Jessen Erik Jessen posted a comment on ticket #26

    Does Matthew even sleep??? :) On Mon, Aug 16, 2021 at 5:39 PM Matthew Ballance mballance@users.sourceforge.net wrote: I've added a basic 'index.html' just to avoid Github reporting the 400 error. Please also confirm that you're able to install from the update site (ie add https://sveditor.github.io/update as an update site from which to install software). Thanks, Matthew [support-requests:#26] Multiple artifact not found errors during installation Status: open Group: v1.0_(example) Created: Sun Aug...

  • Matthew Ballance Matthew Ballance posted a comment on ticket #26

    I've added a basic 'index.html' just to avoid Github reporting the 400 error. Please also confirm that you're able to install from the update site (ie add https://sveditor.github.io/update as an update site from which to install software). Thanks, Matthew

  • Michael Rothermel Michael Rothermel posted a comment on ticket #26

    Wow! that was quick. Thank you. The link you provided (https://sveditor.github.io/update/ https://sveditor.github.io/update/.) results in a 404 error. https://sveditor.github.io https://sveditor.github.io/update/. works but is just some auto-generated text. Regards, Mick On 15/08/2021 17:47, Matthew Ballance wrote: Ah, yes, Bintray removed support for hosting Eclipse update sites. Unfortunately, that was where SVEditor's site was hosted. I've been working on a migration to hosting the update site...

  • Matthew Ballance Matthew Ballance posted a comment on ticket #26

    Ah, yes, Bintray removed support for hosting Eclipse update sites. Unfortunately, that was where SVEditor's site was hosted. I've been working on a migration to hosting the update site on GitHub. The new update site will be: https://sveditor.github.io/update/. Perhaps you could try this out to confirm it works for you? Thanks for raising this issue! -Matthew

  • Michael Rothermel Michael Rothermel created ticket #26

    Multiple artifact not found errors during installation

  • StevenAZ StevenAZ posted a comment on ticket #540

    It's one of the ones I usually struggle to find but it is there: Window>Preferences>General>TextEditors>Annotations Please reply if this works for you and I will close the ticket.

  • Martin Stejskal Martin Stejskal created ticket #540

    Dark Mode inactive code background color cannot be changed

  • BigKuma BigKuma posted a comment on discussion Help

    I see that version 3.10 of Gef3 is installed. But, SVEditor is asking for 3.8. I downloaded Gef3 Update v3.8, but I couldn't install anything besides the source packages. I think it's because oomph is "forbidden", so most of the setup operations fail. org.eclipse.oomph.util.IOExceptionWithCause: Forbidden Thanks for the help though! I think I will try to get our IT to fix the latest Eclipse From: Matthew Ballance mballance@users.sourceforge.net Sent: Thursday, October 29, 2020 8:15 PM To: [sveditor:discussion]...

  • Matthew Ballance Matthew Ballance posted a comment on discussion Help

    Hello, I believe Mars should work, and you should be able to use the most-recent SVEditor, but you may need to install Gef3 in order for it to work. Are you able to confirm whether the org.eclipse.draw2d.feature.group feature is installed in your Eclipse installation? I believe Mars may include some aspects of Gef built-in (ie other feature groups), but not all. Best Regards, Matthew On Thu, Oct 29, 2020 at 6:30 PM BigKuma bigkuma@users.sourceforge.net wrote: I am working in a very restrictive environment....

  • BigKuma BigKuma posted a comment on discussion Help

    I am working in a very restrictive environment. Out of all the eclipse versions, I could only get Mars to work. But, when I try to pull in variouse versions of SVEditor using the "Add" "Archive" method, I get this error: Cannot complete the install because one or more required items could not be found. Software being installed: SVEditor 2.1.5 (net.sf.sveditor.feature.group 2.1.5) Missing requirement: SVEditor UI Feature 2.1.5 (net.sf.sveditor.ui.feature.feature.group 2.1.5) requires 'org.eclipse.draw2d.feature.group...

  • Matthew Ballance Matthew Ballance posted a comment on discussion Help

    Hi Felix, There's not exactly a way to ignore this error in SVEditor. At the moment, probably the best way to accomplish this would be to place ifndef SVEDITOR /endif around the `uselib directive. I'm not sure if you could do that in your code, but it is an option. I will also look into recognizing this directive in SVEditor directly. Thanks for your feedback! Best Regards, Matthew On Wed, Jan 29, 2020 at 10:16 AM Felix Dube fdube@users.sourceforge.net wrote: Hi Matthew, When I use the uselib compiler...

  • Felix Dube Felix Dube posted a comment on discussion Help

    Hi Matthew, When I use the uselib compiler directive in my systemverilog code, it is being flagged as an error: Multiple markers at this line - Misspelled word: uselib - ${workspace_loc}/fpga/design_lib/hdl/TB_MODULE/top/tb_top.sv:57 Unknown module/class/iterface body item: Operator == - Misspelled word: lib - Macro uselib undefined My code compiles and runs fine. Is there a way ignore this error? Thank you, Felix

  • Matthew Ballance Matthew Ballance posted a comment on discussion Open Discussion

    Hello Brandon, Great to hear that you're looking to use the parser API again! Okay, sounds like you're trying to use both Xtext and SVEditor in a headless non-Eclipse configuration. There certainly are some complexities running the parser standalone (outside Eclipse). One thing you might try is the SVEditorVlogIndexFactory.vlog_loc method (the class is in the net.sf.sveditor.core.batch package). This method the same set of arguments you would pass in an argument file (eg -f, +incdir+, source files,...

  • Brandon Lewis Brandon Lewis modified a comment on discussion Open Discussion

    This might also be a clue, even before the code mentioned above, I'm having trouble accessing the SVCorePlugin: The example code has: SVCorePlugin.getDefault().enableDebug(false); In my standlone Main compiler, I'm getting a null pointer on that unless I do this: SVCorePlugin.testInit();

  • Brandon Lewis Brandon Lewis posted a comment on discussion Open Discussion

    This might also be a clue, even before the code mentioned above, I'm having trouble accessing the SVCorePlugin: The example code has: SVCorePlugin.getDefault().enableDebug(false); But I'm getting a null pointer on that unless I do this: SVCorePlugin.testInit();

  • Brandon Lewis Brandon Lewis modified a comment on discussion Open Discussion

    Le'ts try again... Hello again Matthew! I'm once again trying to use your System Verilog parser for some System Verilog to IP-XACT translation. Our first exchange on the subject was in this thread: https://sourceforge.net/p/sveditor/discussion/833802/thread/08bb1834/ I've used snippets from your Github example in a new Eclipse application and so far it's working well - in GUI mode while running a Eclipse workbench based app. Since I work with hardware engineers, they all hate GUIs (and it can't be...

  • Brandon Lewis Brandon Lewis modified a comment on discussion Open Discussion

    Darn. Switched screens and the entire post got deleted... Typing agin

  • Brandon Lewis Brandon Lewis posted a comment on discussion Open Discussion

    Le'ts try again... Hello again Matthew! I'm once again trying to use your System Verilog parser for some System Verilog to IP-XACT translation. Our first exchange on the subject was in this thread: https://sourceforge.net/p/sveditor/discussion/833802/thread/08bb1834/ I've used snippets from your Github example in a new Eclipse application and so far it's working well - in GUI mode while running a Eclipse workbench based app. Since I work with hardware engineers, they all hate GUIs (and it can't be...

  • Brandon Lewis Brandon Lewis modified a comment on discussion Open Discussion

    Darn. Switched screens and the entire post got delete... Typing agin

  • Brandon Lewis Brandon Lewis posted a comment on discussion Open Discussion

    -

  • Felix Dube Felix Dube posted a comment on discussion Help

    Hi Matthew, Yes, enabling doc-generation for all interfaces/modules would be good! Thank you, Felix

  • Matthew Ballance Matthew Ballance posted a comment on discussion Help

    Hi Felix, That's a good point. Documentation generation via the GUI has always been package-centric. I believe it should be possible, but I'll have to dig into the code. For your purposes, would enabling doc-generation for all modules be sufficient, or do you have a reason to filter out certain modules/interfaces? Thanks, Matthew On Wed, Dec 4, 2019 at 6:48 AM Felix Dube fdube@users.sourceforge.net wrote: First of all, thanks Mathew for you hard work!! I would like to know if it is possible to document...

  • Felix Dube Felix Dube posted a comment on discussion Help

    First of all, thanks Mathew for you hard work!! I would like to know if it is possible to document interface/module. I do not see how I can include interface/module when generating the documentation, since it is not part of any package. Thank you, Felix

  • Jonathan Alvarez Jonathan Alvarez posted a comment on ticket #535

    I think it is OK to close this as it will be difficult to implement it and there is already a nice way to solve it using the shortcuts of StevenAZ. Thanks

  • Jonathan Alvarez Jonathan Alvarez posted a comment on ticket #522

    For those that want to solve this problem now. You can install in eclipse the plugin GLANCE. http://www.exyte.com/glance/updates This allows you to search and highlight multiple occurrences on any file. Better than nothing.

  • Jonathan Alvarez Jonathan Alvarez posted a comment on ticket #539

    Sorry again my bad!. The code had a typo. Please ignore this ticket again.

  • Jonathan Alvarez Jonathan Alvarez created ticket #539

    Highlight and format problem with defines

  • Jonathan Alvarez Jonathan Alvarez posted a comment on ticket #538

    Sorry i close this ticket. The error was that i was calling wrong the command release for Questa flavor. It should be task force_wrp_tdc_data(logic [9:0] value); `ifdef VCS $hdl_xmr_force("secure_core_tb_top.u_secure_core_wrp.secure_core_top_1.U00.U05.U02.TDC_XB", "value", "0 ps", "freeze", , 0); `else //QUESTA force secure_core_tb_top.u_secure_core_wrp.secure_core_top_1.U00.U05.U02.TDC_XB = value;//direct force DUT intern signal `endif endtask task release_wrp_tdc_data; `ifdef VCS $hdl_xmr_release("secure_core_tb_top.u_secure_core_wrp.secure_core_top_1.U00.U05.U02.TDC_XB",...

  • Jonathan Alvarez Jonathan Alvarez created ticket #538

    Wrong error in SVeditor code parser with tasks inside interfaces

  • Jonathan Alvarez Jonathan Alvarez posted a comment on ticket #531

    Dear Synath, yes that works. Is really not possible to write the "=" in the macro. It is strange that the compilers and the simulators accept that. SVEditor could change the error to a warning :D

  • Jonathan Alvarez Jonathan Alvarez posted a comment on ticket #537

    Maybe, there is a workaround or other way to write this using less parenthesis.

  • Jonathan Alvarez Jonathan Alvarez created ticket #537

    Synxtax checker problem with property

  • Jonathan Alvarez Jonathan Alvarez created ticket #536

    Wrong begin 2 end selection using ALT+SHIFT+Upperarrow

  • Matthew Ballance Matthew Ballance posted a comment on ticket #535

    Hi Jonathan, Unfortunately, Eclipse doesn't make it easy (possible?) to coordinate activity like this across multiple editor instances. Especially when it comes to cursor location, which what drives the brace-highlighting code, each editor maintains its own notion. Sorry to not have better news... Best Regards, Matthew

  • Jonathan Alvarez Jonathan Alvarez posted a comment on ticket #535

    Thanks!, both shortcuts are very useful for large blocks. The problem is that i tend to forget those nice shortcuts. Anyway, it would be also nice to highlight begin/end in both editor panes. I think supporting that way is also intuitive and it does not require to do anything / remember the shortcut.

  • StevenAZ StevenAZ posted a comment on ticket #535

    This reply doesn't address your bug... but I am documenting 2 other mechanisms that can be used when working with larger code blocks in case someone else reads your bug request in the future. These options select all the code between the begin / end which is useful for visualizing the span of a block of code. Option 1: Select any letter of a begin / end or a brace, then use the "Goto Matching Brace" command (Ctrl+Shift+P) Option 2: Use the "Select Enclosing Element" command - Shift+Alt+Up

  • Jonathan Alvarez Jonathan Alvarez created ticket #535

    matching braces for begin end

  • Andi Andi posted a comment on discussion Help

    SVN has it's own console window. The SVN console pops up after every SVN transaction but then gets covered again by the SVEditor console pop-up. I will try disabling auto-Build.

  • Matthew Ballance Matthew Ballance posted a comment on discussion Help

    Hi Andi, One thing you can do now is to disable project auto-build (Project->Build Automatically). The SVEditor indexer is driven off the Eclipse automatic project builder, so this will stop the SVEditor indexing messages, as well as all other auto building. What can you tell me about the SVN messages? Are these shown in the same console that the SVEditor indexing messages are? Thanks, Matthew

  • Andi Andi posted a comment on discussion Help

    I need some guidance how to avoid the following situation: Every time I update my source code from a SVN repository I want to study the SVN messages to check what new files I received from the repository. But when I look at the SVN console window it always switches away from to the SV Build Console of SVEditor after a very short moment (1 second). So each time I have to switch back to the SVN console to check my messages. This is very annoying! Is there a way to disable the re-indexing message in...

  • asverd asverd posted a comment on discussion Open Discussion

    how can I disable ifdef highlighting in sve editor?

  • StevenAZ StevenAZ posted a comment on ticket #533

    Found a list of UVM begin / end macros in case we get this far: // Begin keywords fUvmMacroBegin = new HashSet<String>(); fUvmMacroBegin.add("\`uvm_field_utils_begin"); fUvmMacroBegin.add("\`uvm_object_utils_begin"); fUvmMacroBegin.add("\`uvm_object_param_utils_begin"); fUvmMacroBegin.add("\`uvm_component_utils_begin"); fUvmMacroBegin.add("\`uvm_component_param_utils_begin"); // End keywords fUvmMacroEnd = new HashSet<String>(); fUvmMacroEnd.add("\`uvm_field_utils_end"); fUvmMacroEnd.add("\`uvm_object_utils_end");...

  • StevenAZ StevenAZ modified ticket #534

    Indenter: if without body followed by else

  • StevenAZ StevenAZ posted a comment on ticket #534

    Fixed in 2.1.6

  • StevenAZ StevenAZ created ticket #534

    Indenter: if without body followed by else

  • StevenAZ StevenAZ modified ticket #533

    Update indenter to support indent of nested UVM macros

  • StevenAZ StevenAZ created ticket #533

    Update indenter to support indent of nested UVM macros

  • Matthew Ballance Matthew Ballance modified ticket #138

    Add support for VCS console hyperlinks

  • Matthew Ballance Matthew Ballance posted a comment on ticket #138

    Hi Dillan, Thanks for the example logfile. I do see an oversight in my implementation based on the logfile: I only implemented the new path format for absolute paths. I've now implemented support for relative paths (available in the next release). Would you be able to try out the existing release using a logfile with absolute paths? I want to be sure there's not a larger issue lurking here. Thanks, Matthew

  • Dillan Mills Dillan Mills posted a comment on ticket #138

    Hi Matthew, I updated SVEditor and gave it a try, but it doesn't appear to work. I will attach a logfile snippet that you can use to test. The line of interest is line 19. I cat the logfile using an SVEditor script, but it doesn't highlight. Thanks for working on this.

  • SVEditor SVEditor released /sveditor/2.1.5/sveditor-src-2.1.5.zip

  • SVEditor SVEditor released /sveditor/2.1.5/rn.txt

  • SVEditor SVEditor released /sveditor/2.1.5/sveditor-2.1.5.jar

  • Matthew Ballance Matthew Ballance modified ticket #138

    Add support for VCS console hyperlinks

  • Matthew Ballance Matthew Ballance posted a comment on ticket #138

    Hi Dillan, Just release SVEditor 2.1.5 that supports recognizing messages with the format "<path>", <line>. Give it a try and let me know how it works.</line></path> Thanks, Matthew

  • Dillan Mills Dillan Mills modified a comment on ticket #138

    There is a space between the comma and the number, and there are double quotes around the file name. The non error messages in the logs use single quotes. No line numbers though, so I am not sure why they aren’t already getting picked up. Thanks, Dillan

  • Dillan Mills Dillan Mills posted a comment on ticket #138

    There is a space between the comma and the number, and there are double quotes around the file name. The non error messages in the logs use single quotes. No line numbers though, so I am not sure why they aren’t already getting picked up. Thanks,Dillan On Tue, Aug 14, 2018 at 7:49 PM -0700, "Matthew Ballance" mballance@users.sourceforge.net wrote: Hi Dillan, I'll have a look. Doesn't look like expanding the message patterns that are currently supported should be a big deal (currently, <file>, <file>:<line>,...

  • Matthew Ballance Matthew Ballance posted a comment on ticket #138

    Hi Dillan, I'll have a look. Doesn't look like expanding the message patterns that are currently supported should be a big deal (currently, <file>, <file>:<line>, and <file>(<line>) are supported). Can you confirm whether or not there is whitespace between the comma and the line number?</line></file></line></file></file> Thanks, Matthew

  • Dillan Mills Dillan Mills created ticket #138

    Add support for VCS console hyperlinks

  • Synath Synath posted a comment on ticket #531

    Jonathan, The problem is the = in your macro definition. You have written your macro like you would a parameter/localparam. Simple text macros don't require the = or the ;. Try removing it (and the ; at the end), e.g. package secure_core_pkg; `ifndef PYTHON_PATH `define PYTHON_PATH "" `endif localparam string PKG_SECURE_CORE_PYTHON_PATH = `PYTHON_PATH; endpackage That should solve this problem.

  • StevenAZ StevenAZ created ticket #532

    Terminal output error when mousing over typedef

  • Jonathan Alvarez Jonathan Alvarez created ticket #531

    Usage of define macros in an assignment returns a false error

  • G. Ershnag G. Ershnag posted a comment on discussion Open Discussion

    I would very much like to be able to control begin/end indenting. I get code from many sources, and it would be very nice to get it into a single standard format. My personal suggestion: start with a tiny subset of the features of CDL, using the same everything, so that you can at least just reference their documentation. And people who want additional features found in CDL, can just reference them, and you'll know exactly what they're talking about. Other useful features that are SV specific: a)...

  • StevenAZ StevenAZ modified ticket #137

    Hide Console during parsing

  • StevenAZ StevenAZ posted a comment on ticket #137

    That works. Thanks

  • Matthew Ballance Matthew Ballance posted a comment on discussion Open Discussion

    James, Many thanks for the comments and requests! I took a look at CDT's indenting options, and CDT definitely supports an incredible degree of customization! At the moment, SVEditor's formatter supports changing the indent on elements, but doesn't support changing the line on which braces or begin/end appear. Would better control over the element indent level (eg should statements in a case statement be indented or in-line with the case) get you closer to what you're looking for? As far as module...

  • Matthew Ballance Matthew Ballance posted a comment on ticket #530

    Hi Mark, The best way to install SVEditor into an existing Eclipse installation is to download the sveditor-<version>.jar file from the SVEditor release area and use the Eclipse plug-in installer (Help->Install New Software). Here's a link to the latest version of the .jar file: https://sourceforge.net/projects/sveditor/files/sveditor/2.1.4/sveditor-2.1.4.jar/download - Download the .jar file - Select Help->Install New Software in your Eclipse installation - In the Install dialog, select the Add...

  • James Sakalaukus James Sakalaukus posted a comment on discussion Open Discussion

    First, thank you for all of the hard work that has been put into creating SVEditor. Its definitely the best open source editor available for SystemVerilog. I used Veditor for a few years until I started to use a enough SystemVerilog to warrant a change. I have no complaints, only 2 feature requests. 1) Formatting. Please, oh please, give us some options to customize formating. I write a ton of C code, and I have gotten very spoiled with the CDT code formatter and its ability to customize almost all...

  • Mark Babasa Mark Babasa created ticket #530

    How to Install SVEditor from archive to existing Eclipse installation on Linux

  • Matthew Ballance Matthew Ballance posted a comment on ticket #137

    There is a preference on the build console that should control this behavior: Window->Preferences Run/Debug->Console - Show when program writes to standard out - Show when program writes to standard error Let me know if this doesn't seem to work! -Matthew On Fri, May 25, 2018 at 1:22 PM, StevenAZ stevenaz@users.sourceforge.net wrote: [feature-requests:#137] https://sourceforge.net/p/sveditor/feature-requests/137/ Hide Console during parsing* Status: open Group: Next_Release_(example) Created: Fri...

  • StevenAZ StevenAZ created ticket #137

    Hide Console during parsing

  • Scott Nixon Scott Nixon posted a comment on ticket #528

    Hah, wouldn't be the first time the simulator happily digests code that isn't LRM compatible :) No worries, I can clean up my code to match the LRM if that is what it specifies.

  • StevenAZ StevenAZ posted a comment on ticket #528

    Scott, I think I am going to let Matt handle this one as he deals with LRM issues. It doesn't look like the code above is LRM compliant, there should be braces around the (!(a==1'b1)). I'm looking at the 2012 version of the LRM, not sure if there has been an update since then that allows the code above.

  • Scott Nixon Scott Nixon posted a comment on ticket #528

    cp_read_write : coverpoint trans.kind iff !(a == 1'b) { type_option.comment = "Read/Write"; bins cp_read = {READ}; bins cp_write = {WRITE}; }

  • StevenAZ StevenAZ posted a comment on ticket #528

    Can you give an example in an iff statement.

  • StevenAZ StevenAZ modified ticket #529

    Specify blocks don't parse correctly for min:typ:max timing data

  • StevenAZ StevenAZ posted a comment on ticket #529

    Fixed in 2.1.5

  • Jonathan Alvarez Jonathan Alvarez posted a comment on ticket #468

    I think we can close this. This has been solved with the last versions of SVEditor. I don´t know which version exactly solved the problem, but now it works.

  • Scott Nixon Scott Nixon created ticket #529

    Specify blocks don't parse correctly for min:typ:max timing data

  • Scott Nixon Scott Nixon posted a comment on discussion Open Discussion

    Dozens, if not 100's. Typically see VHDL in about 70-80% of the projects I work on. Certainly would be useful, but more so for designers as I rarely have to dig down that far just doing verfication...

  • Scott Nixon Scott Nixon posted a comment on discussion Open Discussion

    They were in "problems". I deleted them and did a "Project->Clean" and they are gone! Thanks!!!

  • Scott Nixon Scott Nixon created ticket #528

    Unary Logic Negation Operator not parsed correctly

  • Matthew Ballance Matthew Ballance posted a comment on discussion Open Discussion

    Do you see the errors in the 'problems' view, or are they in the console view? If the errors are in the 'problems' view, you may need to manually remove the errors from the view. You could try starting Eclipse on a new workspace and importing the project into that new workspace. In most cases, you shouldn't need to start over with a fresh project. On Fri, May 11, 2018 at 11:34 AM, Scott Nixon scnix1@users.sourceforge.net wrote: I removed the VHDL files from my ".F" file, but they still show up in...

  • Scott Nixon Scott Nixon posted a comment on discussion Open Discussion

    I removed the VHDL files from my ".F" file, but they still show up in the error log. I did a "Clean Project" and "SV Index rebuild" but neither eliminated them. Do I need to start over with a fresh project?

  • Matthew Ballance Matthew Ballance posted a comment on discussion Open Discussion

    Since we're on the topic of VHDL... How many VHDL source files (roughly) do you have, and how useful would it be for SVE to support parsing, indexing, and navigating VHDL files? I've been looking at better support for VHDL, but have relatively few requests for it at the moment. That could be because there's relatively little demand, but it could also be because SVE is perceived as a SystemVerilog/Verilog-only tool. Thanks, Matthew On Fri, May 11, 2018 at 8:43 AM, Scott Nixon scnix1@users.sourceforge.net...

  • Scott Nixon Scott Nixon posted a comment on discussion Open Discussion

    That was my mistake, thanks!

  • StevenAZ StevenAZ modified ticket #525

    TODO/FIXME tags not showing up in Tasks view

  • StevenAZ StevenAZ modified ticket #526

    soft constraint keyword not recognized in randomize with blocks

  • Scott Nixon Scott Nixon posted a comment on ticket #526

    Did an update, this is no longer an issue. Go ahead and close...

  • Matthew Ballance Matthew Ballance posted a comment on discussion Open Discussion

    Hi Scott, SVE doesn't currently parse VHDL files, but does have an editor for them (just syntax coloring at the moment). Are you including them in your filelist? If so, then SVE will try to parse them as SystemVerilog files and will report an error. If this is the case, then just remove them from your filelist. Best Regards, Matthew On Wed, May 9, 2018 at 2:01 PM, Scott Nixon scnix1@users.sourceforge.net wrote: I have a few VHDL file in my project, all of which are getting flagged as "SV Index Problem"....

  • Scott Nixon Scott Nixon posted a comment on ticket #525

    Because of the way I had my project structured all the files were showing up under "External SV Files" and weren't indexing. Once I put the files under my Eclipse workspace and got the paths sorted out it worked.

  • Scott Nixon Scott Nixon posted a comment on discussion Open Discussion

    I have a few VHDL file in my project, all of which are getting flagged as "SV Index Problem". Is there a way to filter these out? Or is something wrong in my setup? They are .vhd file extensions, and the tool recognizes them as such (they have the little "vh" symbol next to them).

  • StevenAZ StevenAZ posted a comment on ticket #525

    Do you mind if I ask what was wrong with the indexing? I have seen a couple of indexing/blue dot queries lately, trying to figure out if there is something systemic there On Wed, May 9, 2018, 1:06 PM Scott Nixon scnix1@users.sourceforge.net wrote: You can go ahead and close this. Apparently my indexing issues was leading to this. All my tags are now getting found! [bugs:#525] https://sourceforge.net/p/sveditor/bugs/525/ TODO/FIXME tags not showing up in Tasks view* Status: open Group: v1.0_(example)...

  • Scott Nixon Scott Nixon created ticket #527

    sync_accept_on assertion keyword not recognized

  • Scott Nixon Scott Nixon created ticket #526

    soft constraint keyword not recognized in randomize with blocks

  • Scott Nixon Scott Nixon posted a comment on ticket #525

    You can go ahead and close this. Apparently my indexing issues was leading to this. All my tags are now getting found!

  • Scott Nixon Scott Nixon posted a comment on ticket #525

    Just a keyword in a comment: // TODO something I need to do that I'm not ready to do right now.... // FIX something I know is broken I know this used to work a few versions ago...

  • StevenAZ StevenAZ posted a comment on discussion Open Discussion

    Would it be possible to try to isolate the offending file(s) by commenting out a part of your argument file(s)?

  • StevenAZ StevenAZ posted a comment on discussion Open Discussion

    Are there any exceptions generated during the compile process (may be displayed in the terminal you launch SVE from)? That's about all I can think of on my end. There is occasionally a delay between when the compile completes and when the Project Explorer window gets upated (true for revision control updates to the Project Explorer view too). In the early SVE days I have had to resort to commenting out chunks of my argument file to try to isolate an issue, but haven't had to do that in a couple of...

1 >