|
From: Michael S. <mic...@gm...> - 2017-10-23 08:36:00
|
It could be an input array. Change it to "input datain [LENGTH*WIDTH-1:0],". Later you'll need to choose right bits. Or assemble an array from this vector. Best regards, Michael Strelnikov On 23 October 2017 at 19:30, Kustaa Nyholm <Kus...@pl...> wrote: > Thanks, now it compiles but crashes: > > cat correlator.v > > module correlator #( > > parameter > > LENGTH = 4, > > WIDTH = 8 > > ) > > ( > > input [LENGTH-1:0] datain [WIDTH-1:0], > > input clk, > > input reset, > > output reg [WIDTH-1 : 0] out > > ); > > > always @(posedge reset, posedge clk) > > if(reset) > > out <= 0; > > else > > out <= out + 1; > > > endmodule > > macbook-pro-3:modelsim-exp nyholku$ iverilog -g2012 -o correlator > correlator.v > > correlator.v:7: assert: elaborate.cc:6410: failed assertion > netnet->pin_count()==1 > > sh: line 1: 17396 Done /usr/local/lib/ivl/ivlpp -L > -F"/var/folders/yv/fzmkhkkn1s95b0qwn48ghbj00000gn/T//ivrlg2116cc4de" > -f"/var/folders/yv/fzmkhkkn1s95b0qwn48ghbj00000gn/T//ivrlg116cc4de" > -p"/var/folders/yv/fzmkhkkn1s95b0qwn48ghbj00000gn/T//ivrli116cc4de" > > 17397 Abort trap: 6 | /usr/local/lib/ivl/ivl > -C"/var/folders/yv/fzmkhkkn1s95b0qwn48ghbj00000gn/T//ivrlh116cc4de" > -C"/usr/local/lib/ivl/vvp.conf" -- - > > macbook-pro-3:modelsim-exp nyholku$ > > ------------------------------------------------------------ > ------------------ > Check out the vibrant tech community on one of the world's most > engaging tech sites, Slashdot.org! http://sdm.link/slashdot > _______________________________________________ > Iverilog-devel mailing list > Ive...@li... > https://lists.sourceforge.net/lists/listinfo/iverilog-devel > > |