| 
      
      
      From: Jared C. <jar...@gm...> - 2013-12-04 23:12:38
      
     | 
| On Wed, Dec 4, 2013 at 2:44 PM, Victor Lyuboslavsky <vi...@vi...> wrote: > QuestaSim gives a compile error: http://www.edaplayground.com/s/4/513 > Others give similar errors: VCS Version G-2012.09-3: ----- Error-[IPD] Identifier previously declared Identifier 'WORD0' previously declared as member of enum type 'word_t' [bar.v:4]. "bar.v", 7 Source info: enum {WORD0, WORD1} bar; Error-[IPD] Identifier previously declared Identifier 'WORD1' previously declared as member of enum type 'word_t' [bar.v:4]. "bar.v", 7 Source info: enum {WORD0, WORD1} bar; ------- ModelSim SE 10.0a ------- ** Error: bar.v(7): Enum literal name 'WORD0' already exists. ** Error: bar.v(7): Enum literal name 'WORD1' already exists. ------- ncverilog 08.20-s006: -------- file: bar.v enum {WORD0, WORD1} bar; | ncvlog: *E,DUPIDN (bar.v,7|13): identifier 'WORD0' previously declared [12.5(IEEE)]. enum {WORD0, WORD1} bar; | ncvlog: *E,DUPIDN (bar.v,7|20): identifier 'WORD1' previously declared [12.5(IEEE)]. -------- Jared > > On Wed, Dec 4, 2013 at 4:28 PM, Stephen Williams <st...@ic...> wrote: >> >> -----BEGIN PGP SIGNED MESSAGE----- >> Hash: SHA1 >> >> >> I've attached an example program that I think should generate >> an error. The reason is that there are names in the enum that >> are the same, and should conflict. I just want to make sure, >> though, because the "typedef" around it incroduces some subtleties. >> >> Anyhow, if someone can try this with big-3 tools, I'd be grateful. >> >> - -- >> Steve Williams "The woods are lovely, dark and deep. >> steve at icarus.com But I have promises to keep, >> http://www.icarus.com and lines to code before I sleep, >> http://www.picturel.com And lines to code before I sleep." >> -----BEGIN PGP SIGNATURE----- >> Version: GnuPG v2.0.19 (GNU/Linux) >> Comment: Using GnuPG with Thunderbird - http://www.enigmail.net/ >> >> iEYEARECAAYFAlKfrJYACgkQrPt1Sc2b3il4BgCgukU55VX4T4ycekqZk7tx++Fn >> xDUAoLcaY6RtMCrV4kzswjhuN+VyLcU2 >> =g9Bl >> -----END PGP SIGNATURE----- >> >> >> ------------------------------------------------------------------------------ >> Sponsored by Intel(R) XDK >> Develop, test and display web and hybrid apps with a single code base. >> Download it for free now! >> >> http://pubads.g.doubleclick.net/gampad/clk?id=111408631&iu=/4140/ostg.clktrk >> _______________________________________________ >> Iverilog-devel mailing list >> Ive...@li... >> https://lists.sourceforge.net/lists/listinfo/iverilog-devel >> > > > ------------------------------------------------------------------------------ > Sponsored by Intel(R) XDK > Develop, test and display web and hybrid apps with a single code base. > Download it for free now! > http://pubads.g.doubleclick.net/gampad/clk?id=111408631&iu=/4140/ostg.clktrk > _______________________________________________ > Iverilog-devel mailing list > Ive...@li... > https://lists.sourceforge.net/lists/listinfo/iverilog-devel > |