|
From: Stephen W. <st...@ic...> - 2014-08-25 17:14:54
|
-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA1 Hi folks, I'm working on some more complex issues of foreach loops and queue assignments, and I would once again like to get a sanity check of the attached programs to make sure I properly understand the tested behaviors. Can someone with access to Big-N SV tools try the attached examples? Thanks! - -- Steve Williams "The woods are lovely, dark and deep. steve at icarus.com But I have promises to keep, http://www.icarus.com and lines to code before I sleep, http://www.picturel.com And lines to code before I sleep." -----BEGIN PGP SIGNATURE----- Version: GnuPG v2.0.19 (GNU/Linux) iEYEARECAAYFAlP7bwEACgkQrPt1Sc2b3ikTmACeJ4cjvLLgHE3q8zZISQXDu+CI ZVwAoJBL5L8UChFVLLaJ7RD7ou+4Xmy5 =UiBB -----END PGP SIGNATURE----- |
|
From: Victor L. <vi...@vi...> - 2014-08-25 18:31:23
|
FYI. Riviera-PRO and ModelSim are available on www.EDAPlayground.com so we could do some sanity checking there. They're not Big-2, but should give some preliminary data :) For example, sv_foreach1.sv results are at http://www.edaplayground.com/x/XLr # KERNEL: FAILED -- foo[0][7] == xxxxx -Victor On Mon, Aug 25, 2014 at 12:14 PM, Stephen Williams <st...@ic...> wrote: > -----BEGIN PGP SIGNED MESSAGE----- > Hash: SHA1 > > > Hi folks, > > I'm working on some more complex issues of foreach loops and > queue assignments, and I would once again like to get a sanity > check of the attached programs to make sure I properly understand > the tested behaviors. > > Can someone with access to Big-N SV tools try the attached examples? > > Thanks! > > - -- > Steve Williams "The woods are lovely, dark and deep. > steve at icarus.com But I have promises to keep, > http://www.icarus.com and lines to code before I sleep, > http://www.picturel.com And lines to code before I sleep." > -----BEGIN PGP SIGNATURE----- > Version: GnuPG v2.0.19 (GNU/Linux) > > iEYEARECAAYFAlP7bwEACgkQrPt1Sc2b3ikTmACeJ4cjvLLgHE3q8zZISQXDu+CI > ZVwAoJBL5L8UChFVLLaJ7RD7ou+4Xmy5 > =UiBB > -----END PGP SIGNATURE----- > > > ------------------------------------------------------------------------------ > Slashdot TV. > Video for Nerds. Stuff that matters. > http://tv.slashdot.org/ > _______________________________________________ > Iverilog-devel mailing list > Ive...@li... > https://lists.sourceforge.net/lists/listinfo/iverilog-devel > > |
|
From: Stephen W. <st...@ic...> - 2014-08-25 18:57:29
|
-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA1 You know what? That's working out pretty well. Thanks! On 08/25/2014 11:18 AM, Victor Lyuboslavsky wrote: > FYI. Riviera-PRO and ModelSim are available on > www.EDAPlayground.com <http://www.EDAPlayground.com> so we could do > some sanity checking there. They're not Big-2, but should give some > preliminary data :) > > For example, sv_foreach1.sv <http://sv_foreach1.sv> results are at > http://www.edaplayground.com/x/XLr # KERNEL: FAILED -- foo[0][7] == > xxxxx > > -Victor > > > On Mon, Aug 25, 2014 at 12:14 PM, Stephen Williams > <st...@ic... <mailto:st...@ic...>> wrote: > > > Hi folks, > > I'm working on some more complex issues of foreach loops and queue > assignments, and I would once again like to get a sanity check of > the attached programs to make sure I properly understand the tested > behaviors. > > Can someone with access to Big-N SV tools try the attached > examples? > > Thanks! > > > ------------------------------------------------------------------------------ > > Slashdot TV. > Video for Nerds. Stuff that matters. http://tv.slashdot.org/ > _______________________________________________ Iverilog-devel > mailing list Ive...@li... > <mailto:Ive...@li...> > https://lists.sourceforge.net/lists/listinfo/iverilog-devel > > > > > ------------------------------------------------------------------------------ > > Slashdot TV. > Video for Nerds. Stuff that matters. http://tv.slashdot.org/ > > > > _______________________________________________ Iverilog-devel > mailing list Ive...@li... > https://lists.sourceforge.net/lists/listinfo/iverilog-devel > - -- Steve Williams "The woods are lovely, dark and deep. steve at icarus.com But I have promises to keep, http://www.icarus.com and lines to code before I sleep, http://www.picturel.com And lines to code before I sleep." -----BEGIN PGP SIGNATURE----- Version: GnuPG v2.0.19 (GNU/Linux) iEYEARECAAYFAlP7hxAACgkQrPt1Sc2b3ilhPACgr+PHlt0e125acBtguU4RFJ66 cWcAoNJdP3ZMGom0djrBtW8SWmyIu7tr =XxXN -----END PGP SIGNATURE----- |
|
From: Iztok J. <izt...@gm...> - 2014-08-29 17:54:38
|
$ irun sv_foreach1.sv
irun: 14.10-s003: (c) Copyright 1995-2014 Cadence Design Systems, Inc.
ncsim> run
FAILED -- foo[0][7] == xxxxx
Simulation complete via $finish(1) at time 0 FS + 0
./sv_foreach1.sv:41 $finish;
ncsim> exit
$ irun sv_foreach2.sv
irun: 14.10-s003: (c) Copyright 1995-2014 Cadence Design Systems, Inc.
ncsim> run
ncsim: *E,TRNULLID: NULL pointer dereference.
File: ./sv_foreach2.sv, line = 52, pos = 8
Scope: main
Time: 0 FS + 0
./sv_foreach2.sv:52 if (foo[ia][ib].a !== ia[1:0] || foo[ia][ib].b !==
ib[2:0]) begin
ncsim> exit
$ irun sv_foreach3.sv
irun: 14.10-s003: (c) Copyright 1995-2014 Cadence Design Systems, Inc.
file: sv_foreach3.sv
for (idx1 = 0 ; idx1 < 4 ; idx1 = idx1+1) begin
|
ncvlog: *E,UNDIDN (sv_foreach3.sv,65|10): 'idx1': undeclared identifier
[12.5(IEEE)].
for (idx1 = 0 ; idx1 < 4 ; idx1 = idx1+1) begin
|
ncvlog: *E,UNDIDN (sv_foreach3.sv,65|21): 'idx1': undeclared identifier
[12.5(IEEE)].
for (idx1 = 0 ; idx1 < 4 ; idx1 = idx1+1) begin
|
ncvlog: *E,UNDIDN (sv_foreach3.sv,65|32): 'idx1': undeclared identifier
[12.5(IEEE)].
for (idx1 = 0 ; idx1 < 4 ; idx1 = idx1+1) begin
|
ncvlog: *E,UNDIDN (sv_foreach3.sv,65|39): 'idx1': undeclared identifier
[12.5(IEEE)].
for (idx2 = 0 ; idx2 < 7 ; idx2 = idx2+1)
|
ncvlog: *E,UNDIDN (sv_foreach3.sv,66|13): 'idx2': undeclared identifier
[12.5(IEEE)].
for (idx2 = 0 ; idx2 < 7 ; idx2 = idx2+1)
|
ncvlog: *E,UNDIDN (sv_foreach3.sv,66|24): 'idx2': undeclared identifier
[12.5(IEEE)].
for (idx2 = 0 ; idx2 < 7 ; idx2 = idx2+1)
|
ncvlog: *E,UNDIDN (sv_foreach3.sv,66|35): 'idx2': undeclared identifier
[12.5(IEEE)].
for (idx2 = 0 ; idx2 < 7 ; idx2 = idx2+1)
|
ncvlog: *E,UNDIDN (sv_foreach3.sv,66|42): 'idx2': undeclared identifier
[12.5(IEEE)].
if (foo[idx1][idx2] != null) begin
|
ncvlog: *E,UNDIDN (sv_foreach3.sv,67|18): 'idx1': undeclared identifier
[12.5(IEEE)].
if (foo[idx1][idx2] != null) begin
|
ncvlog: *E,UNDIDN (sv_foreach3.sv,67|24): 'idx2': undeclared identifier
[12.5(IEEE)].
module worklib.main:sv
errors: 10, warnings: 0
ncvlog: *F,NOTOPL: no top-level unit found, must have recursive instances.
irun: *E,VLGERR: An error occurred during parsing. Review the log file for
errors with the code *E and fix those identified problems to proceed.
Exiting with code (status 2).
$ irun sv_queue3.sv
irun: 14.10-s003: (c) Copyright 1995-2014 Cadence Design Systems, Inc.
ncsim> run
PASSED
ncsim: *W,RNQUIE: Simulation is complete.
ncsim> exit
|