Menu

#972 iverilog assertion failure with combinational loop in module output signal

devel
closed-fixed
None
5
2015-04-25
2015-04-23
No

iverilog crashes with an assertion failure when I run the attached Verilog file. The module has a single input and output. The output assignment has itself on the right hand side of the assignment creating a combinational loop. Not exactly a desired behavior but iverilog should not crash with this construct. This occurs in a build that I just pulled from git.

1 Attachments

Discussion

  • Martin Whitaker

    Martin Whitaker - 2015-04-25
    • assigned_to: Martin Whitaker
     
  • Martin Whitaker

    Martin Whitaker - 2015-04-25
    • status: open --> closed-fixed
     
  • Martin Whitaker

    Martin Whitaker - 2015-04-25

    I've pushed a fix for this to both the development branch and the v0.9 branch.

     

Log in to post a comment.