Menu

#1026 VPI compile on Mac OSX

devel
closed-invalid
nobody
VPI (1)
5
2019-08-19
2017-10-03
No

Hello,
Am trying to compile a VPI with Icarus on a MAC. When I type: iverilog-vpi, I get:

/usr/local/bin/iverilog-vpi: line 23: -fcolor-diagnostics: command not found

The fix below seems to work:

VerifWorks changed for Mac

if [[ $OSTYPE == 'darwin' ]]; then
CXX=clang++
else
CXX=clang++ -fcolor-diagnostics
fi

Please consider adding the fix. Or let me know if there is a better way.

Thanks
Srini

Discussion

  • Martin Whitaker

    Martin Whitaker - 2019-08-02

    I don't see this in the Icarus source code. What file are you changing?

     
  • Srinivasan Venkataramanan

    At tmy end I edited the bin/iverilog-vpi to get going (As I have admin previlages on this machine). I looked inside the source tar ball and am able to see same file at:

    /Users/srini/tools/EDA/ivlog/verilog-10.0/iverilog-vpi

    Can you please confirm? As I mentioned, the issue is minor and only on Mac.

    Thanks
    Srini

     

    Last edit: Srinivasan Venkataramanan 2019-08-18
  • Martin Whitaker

    Martin Whitaker - 2019-08-18

    The source tarball does not contain a file named iverilog-vpi, nor any file containing the string "color-diagnostics":

    % tar xf verilog-10.0.tar.gz
    % cd verilog-10.0
    % find . -name "iverilog*"
    ./driver/iverilog.man.in
    ./iverilog-vpi.man.in
    ./iverilog-vpi.sh
    ./tgt-fpga/iverilog-fpga.man
    % grep -r diagnostics .
    ./netlist.h:    // the stream. It is used for debug and diagnostics.
    %
    

    iverilog-vpi is created when you run make. autoconf will be setting the CXX variable, based on local settings on your machine. You can override that when you run the configure script - run configure --help for details.

     
  • Srinivasan Venkataramanan

    Understood, thanks for the explanation.

     
  • Martin Whitaker

    Martin Whitaker - 2019-08-19
    • status: open --> closed-invalid
     
  • Martin Whitaker

    Martin Whitaker - 2019-08-19

    No problem, and sorry it took so long to respond to this one.

     

Log in to post a comment.