Open Source C Electronic Design Automation (EDA) Software

C Electronic Design Automation (EDA) Software

View 29 business solutions

Browse free open source C Electronic Design Automation (EDA) Software and projects below. Use the toggles on the left to filter open source C Electronic Design Automation (EDA) Software by OS, license, language, programming language, and project status.

  • Our Free Plans just got better! | Auth0 by Okta Icon
    Our Free Plans just got better! | Auth0 by Okta

    With up to 25k MAUs and unlimited Okta connections, our Free Plan lets you focus on what you do best—building great apps.

    You asked, we delivered! Auth0 is excited to expand our Free and Paid plans to include more options so you can focus on building, deploying, and scaling applications without having to worry about your secuirty. Auth0 now, thank yourself later.
    Try free now
  • Bright Data - All in One Platform for Proxies and Web Scraping Icon
    Bright Data - All in One Platform for Proxies and Web Scraping

    Say goodbye to blocks, restrictions, and CAPTCHAs

    Bright Data offers the highest quality proxies with automated session management, IP rotation, and advanced web unlocking technology. Enjoy reliable, fast performance with easy integration, a user-friendly dashboard, and enterprise-grade scaling. Powered by ethically-sourced residential IPs for seamless web scraping.
    Get Started
  • 1
    ngspice
    Ngspice project aims to improve the spice3f5 circuit simulator.
    Leader badge
    Downloads: 1,871 This Week
    Last Update:
    See Project
  • 2
    PICSimLab - Prog. IC Simulator Lab.

    PICSimLab - Prog. IC Simulator Lab.

    PICSimLab is a realtime emulator for PIC, Arduino, STM32, ESP32, ...

    PICSimLab is a realtime emulator of development boards with MPLABX/avr-gdb debugger integration. PICSimLab supports microcontrollers from picsim, simavr, uCsim, qemu-stm32, qemu-esp32, and gpsim. PICSimLab has integration with MPLABX/Arduino IDE for programming the microcontroller's boards. As the purpose of PICSimLab is to emulate real hardware it does not have any source code editing support. For code editing and debugging the same tools used for a real board should be used with PICSimLab, such as MPLABX, Arduino IDE, or VSCode with PlatformIO. PICSimLab supports several devices (spare parts) that can be connected to the boards for simulation. Such as example LEDs and push buttons for simple outputs and inputs and some more complex ones like the ethernet shield w5500 for internet connection or the color graphic display ili9340 with touchscreen. The complete list of parts can be accessed in the documentation. Documentation at https://lcgamboa.github.io/picsimlab_docs/stable/
    Leader badge
    Downloads: 753 This Week
    Last Update:
    See Project
  • 3
    gerbv — a Gerber (RS-274X) viewer
    Gerbv is an open source Gerber file (RS-274X only) viewer. Gerbv lets you load several files on top of each other, do measurements on the displayed image, etc. Besides viewing Gerbers, you may also view Excellon drill files as well as pick-place file
    Leader badge
    Downloads: 679 This Week
    Last Update:
    See Project
  • 4
    GNUSim8085 is a simulator and assembler for the Intel 8085 Microprocessor. For downloading latest release please head to the website - https://gnusim8085.github.io/ For source code - https://github.com/GNUSim8085/GNUSim8085
    Leader badge
    Downloads: 681 This Week
    Last Update:
    See Project
  • An All-in-One EMR Exclusively for Therapy and Rehab. Icon
    An All-in-One EMR Exclusively for Therapy and Rehab.

    Electronic Medical Records Software

    Managing your therapy and rehab practice is a time-consuming process. You spend hours on paperwork, billing, scheduling, and more. Raintree’s Therapy & Rehab EHR is here to help you manage your practice more efficiently. With our all-in-one solution, you’ll get the tools you need to streamline your therapy and rehab practice, improve patient care, and get back to doing what you love.
    Learn More
  • 5
    Icarus Verilog is an open source Verilog compiler that supports the IEEE-1364 Verilog HDL including IEEE1364-2005 plus extensions.
    Leader badge
    Downloads: 175 This Week
    Last Update:
    See Project
  • 6
    UrJTAG aims to create an enhanced, modern tool for communicating over JTAG with flash chips, CPUs, and many more. It is a descendant of the popular openwince JTAG tools with a lot of additional features and enhancements.
    Leader badge
    Downloads: 101 This Week
    Last Update:
    See Project
  • 7
    Printed Circuit Board Layout Tool
    PCB is a tool for the layout of printed circuit boards. PCB can produce industry standard RS-274X and Excellon NC-Drill format output for submission to board manufacturers.
    Leader badge
    Downloads: 81 This Week
    Last Update:
    See Project
  • 8
    gputils is a collection of tools for Microchip PIC microcontrollers. Its goal is to be fully compatible with Microchip's tools, MPASM, MPLINK, and MPLIB.
    Leader badge
    Downloads: 80 This Week
    Last Update:
    See Project
  • 9
    gpsim - The gnupic Simulator
    gpsim is an open sourced simulator for Microchip's PIC microcontrollers. It supports all three families of PICs: 12-bit, 14-bit, and 16-bit cores. See also gputils http://gputils.sourceforge.net/
    Leader badge
    Downloads: 47 This Week
    Last Update:
    See Project
  • Small Business HR Management Software Icon
    Small Business HR Management Software

    Get a unified timekeeping, scheduling, payroll, HR and benefits portal with WorkforceHub.

    WorkforceHub is the instantly useful, delightfully simple to use, small business solution for tracking time, scheduling and hiring. It scales as your business grows while delivering the mission-critical features an organization needs. It is tailored to, built for, and priced for small business employers.
    Learn More
  • 10
    Sparse is a direct method solver for the large sparse matrices that are associated with electrical circuit simulation. It uses LU factorization and handles both real and complex square matrices.It is intended to solve many matrices with the same structure
    Downloads: 148 This Week
    Last Update:
    See Project
  • 11
    A Binary Decision Diagram library, with : many highly efficient vectorized BDD operations, dynamic variable reordering, automated garbage collection, a C++ interface with automatic reference counting, and much more.
    Leader badge
    Downloads: 26 This Week
    Last Update:
    See Project
  • 12
    XSCHEM

    XSCHEM

    Schematic circuit editor for VLSI and Mixed mode circuit simulation.

    Xschem is a schematic capture program, it allows to create a hierarchical representation of circuits with a top down approach . By focusing on interconnections, hierarchy and properties a complex system (IC) can be described in terms of simpler building blocks. A VHDL, Verilog or Spice netlist can be generated from the drawn schematic, allowing the simulation of the circuit. Key feature of the program is its drawing engine written in C and using directly the Xlib drawing primitives; this gives top speed performance, even on very big circuits. I have succesfully managed to simulate complete VLSI projects with this tool, both digital (Verilog / VHDL) and analog (Spice). Schematics can be printed in SVG, PNG, PDF, formats. XSCHEM runs on Linux or other Unix-likes with Xorg server and on Windows with the Cygwin layer and required tools installed. Can be found also on github: https://github.com/StefanSchippers/xschem
    Downloads: 25 This Week
    Last Update:
    See Project
  • 13
    MMTL, the Multilayer Multiconductor Transmission Line 2-D and 2.5-D electromagnetic modeling tool suite, generates transmission parameters and SPICE models from descriptions of electronics interconnect dimensions and materials properties.
    Leader badge
    Downloads: 35 This Week
    Last Update:
    See Project
  • 14

    ghdl-updates

    GHDL - a VHDL simulator

    GHDL is the leading open source VHDL simulator. *** Now on github.com/tgingold/ghdl *** We have binary distributions for Debian Linux, Mac OSX and Windows. On other systems, getting GHDL from here means downloading the current source package and building GHDL from source. Alternatively you can get the latest source version (warning : occasionally unstable!) by pulling a snapshot from the git repository.
    Downloads: 13 This Week
    Last Update:
    See Project
  • 15
    This project aims to develop an opensource software with an attractive and efficient GUI which allows to design linear electronic circuits and to characterize existing ones.
    Leader badge
    Downloads: 43 This Week
    Last Update:
    See Project
  • 16
    TkGate is a event driven digital circuit simulator with a tcl/tk-based graphical editor. TkGate supports a wide range of primitive circuit elements as well as user-defined modules for hierarchical design.
    Downloads: 20 This Week
    Last Update:
    See Project
  • 17
    The ASCO project aims to bring circuit optimization capabilities to existing SPICE simulators using a high-performance parallel differential evolution (DE) optimization algorithm. It supports Eldo, HSPICE, LTspice, Spectre, and Qucs.
    Leader badge
    Downloads: 26 This Week
    Last Update:
    See Project
  • 18
    IEC 60870-5 104 Protocol download

    IEC 60870-5 104 Protocol download

    IEC 104 RTU Server Client Simulator Source Code Library Win Linux

    v21.06.008 Complete implementation of iec 104 protocol standard including File transfer. Make your RTU, protocol converter, Gateway, HMI, Data concentrator compatible with iec 104. *Industry Proved * Worldwide Customers Download Evaluation Kit - IEC 104 Development Bundle In the Development Bundle, We included IEC 104 Server & Client Simulator, Windows & Linux SDK. http://www.freyrscada.com/iec-60870-5-104.php http://www.freyrscada.com/iec-60870-5-104-Server-Simulator.php http://www.freyrscada.com/iec-60870-5-104-Client-Simulator.php http://www.freyrscada.com/iec-60870-5-104-Windows-Software-Development-Kit(SDK).php http://www.freyrscada.com/iec-60870-5-104-Linux-Software-Development-Kit(SDK).php Video Tutorial https://www.youtube.com/playlist?list=PL4tVfIsUhy1bx7TVjtZnqFB6tbZBhOlJP
    Downloads: 9 This Week
    Last Update:
    See Project
  • 19
    'atlc' is a CAD package used for analysing and desiging electrical transmission lines of arbitrary cross section. Also for the design of directonal couplers. Some parts are CPU intensive, so multiple CPUs are supported.
    Leader badge
    Downloads: 8 This Week
    Last Update:
    See Project
  • 20
    Tools for FPGA development and IP cores. This project provides tools, cores and documentation to develope FPGA applications. The project focuses on VHDL.
    Downloads: 7 This Week
    Last Update:
    See Project
  • 21
    A package for transient and steady state simulation of organic solar cells.
    Downloads: 19 This Week
    Last Update:
    See Project
  • 22
    A UNIX/X11 circuit drawing application with schematic capture. Features user-definable parts libraries and fully hierarchical SPICE netlist generation.
    Leader badge
    Downloads: 17 This Week
    Last Update:
    See Project
  • 23
    A collection of useful software packages to perform engineering tasks, especially electrical engineering and chip design. All packages come as shrink-wrapped installers for Apple's Mac OS X.
    Downloads: 16 This Week
    Last Update:
    See Project
  • 24
    "Magic" VLSI layout tool and various incarnations of the Berkeley tools.
    Leader badge
    Downloads: 12 This Week
    Last Update:
    See Project
  • 25
    adms
    ADMS is a code generator that converts electrical compact device models specified in high-level description language into ready-to-compile c code for the API of spice simulators. Repository migrated to: https://github.com/Qucs/ADMS For checkout do: git clone https://github.com/Qucs/ADMS.git
    Leader badge
    Downloads: 4 This Week
    Last Update:
    See Project
  • Previous
  • You're on page 1
  • 2
  • 3
  • 4
  • 5
  • Next