Open Source BSD Electronic Design Automation (EDA) Software - Page 3

Electronic Design Automation (EDA) Software for BSD

  • Our Free Plans just got better! | Auth0 by Okta Icon
    Our Free Plans just got better! | Auth0 by Okta

    With up to 25k MAUs and unlimited Okta connections, our Free Plan lets you focus on what you do best—building great apps.

    You asked, we delivered! Auth0 is excited to expand our Free and Paid plans to include more options so you can focus on building, deploying, and scaling applications without having to worry about your secuirty. Auth0 now, thank yourself later.
    Try free now
  • Secure remote access solution to your private network, in the cloud or on-prem. Icon
    Secure remote access solution to your private network, in the cloud or on-prem.

    Deliver secure remote access with OpenVPN.

    OpenVPN is here to bring simple, flexible, and cost-effective secure remote access to companies of all sizes, regardless of where their resources are located.
    Get started — no credit card required.
  • 1
    Signs is a development environment for hardware designs in VHDL and other hardware description languages. It provides synthesis and simulation tools which are fully integrated in an Eclipse plugin including graphical netlist and waveform viewers.
    Downloads: 3 This Week
    Last Update:
    See Project
  • 2
    Analog Insydes is a Mathematica toolbox for symbolic analysis of analog electronic circuits. This project provides a set of free add-ons to Analog Insydes, including a Java front-end and a native netlister for Cadence's Analog Design Environment (ADE).
    Downloads: 4 This Week
    Last Update:
    See Project
  • 3
    Ycad is a library of CAD functions in Java. Currently only DXF is supported for reading, viewing and writing. The DXF drawing may be rendered to a Graphics object for printing or imaging.
    Downloads: 3 This Week
    Last Update:
    See Project
  • 4

    Circuit simulator SPECI-SPICE

    Circuit simulators like SPICE for low memory computers

    SPECI-SPICE is a subset of SPICE intended to run on low powered computers like Amstrad CPC, ZX Spectrum, CP/M machines, old PC machines, some programmable calculators,etc. by keeping most important functionality of SPICE 2.
    Downloads: 7 This Week
    Last Update:
    See Project
  • Bright Data - All in One Platform for Proxies and Web Scraping Icon
    Bright Data - All in One Platform for Proxies and Web Scraping

    Say goodbye to blocks, restrictions, and CAPTCHAs

    Bright Data offers the highest quality proxies with automated session management, IP rotation, and advanced web unlocking technology. Enjoy reliable, fast performance with easy integration, a user-friendly dashboard, and enterprise-grade scaling. Powered by ethically-sourced residential IPs for seamless web scraping.
    Get Started
  • 5
    "Magic" VLSI layout tool and various incarnations of the Berkeley tools.
    Leader badge
    Downloads: 7 This Week
    Last Update:
    See Project
  • 6
    Tarski: A toolkit for the Theory of Equality
    Downloads: 6 This Week
    Last Update:
    See Project
  • 7
    TimeDoctor
    TimeDoctor is a tool to visualize execution traces of tasks, queues, cache behavior, etc. While originally targeting embedded media processors and includes specific features for analyzing audio/video streaming applications it has wider applicability.
    Downloads: 6 This Week
    Last Update:
    See Project
  • 8
    ChNIDAQ allows user programs to use the NI-DAQ C library and run interpretively without compilation. It is an ideal solution for teaching and learning data acquisition, prototyping, and web-based remote data acquisition.
    Downloads: 5 This Week
    Last Update:
    See Project
  • 9
    This program provides for easy modification and viewing of SPICE circuit files. It will also read SPICE3 RAW format (as well as GnuCap) and create graphs of results through an interactive GUI. It supports waveform math, copying to clipboard, and saving.
    Downloads: 5 This Week
    Last Update:
    See Project
  • The #1 Embedded Analytics Solution for SaaS Teams. Icon
    The #1 Embedded Analytics Solution for SaaS Teams.

    Qrvey saves engineering teams time and money with a turnkey multi-tenant solution connecting your data warehouse to your SaaS application.

    Qrvey’s comprehensive embedded analytics software enables you to design more customizable analytics experiences for your end users.
    Try Developer Playground
  • 10
    The Boolean Expression Reducer provides the user with various tools to visualize and analyze boolean expressions. Given an expression, it also reduces it to its Sum of Products and Product of Sums form.
    Downloads: 5 This Week
    Last Update:
    See Project
  • 11
    InSystem Serial Programmer Fujitsu MCU F2MC-16LX and FR series.
    Downloads: 5 This Week
    Last Update:
    See Project
  • 12
    The aim of this project is to develop a GDSII viewer by using Java programming language. Efforts will be made especially on ease-of-use, efficiency, and capacity.
    Downloads: 4 This Week
    Last Update:
    See Project
  • 13
    Pure Tcl/Tk EDA Package. Schematic capture through to PCB layout. Has Part editor as well as editor for Schematic and PCB Decals.
    Downloads: 4 This Week
    Last Update:
    See Project
  • 14
    Spgmr08 is a Linux software package for programming devices in the Motorola MC68HC908 microcontroller family.
    Downloads: 4 This Week
    Last Update:
    See Project
  • 15
    An easy to use spice interface with GTK. This project allows to start and view the simultions with one or two click. It uses gschem for the schematics and ngspice as simulator.
    Downloads: 4 This Week
    Last Update:
    See Project
  • 16
    Netsim is a mobile ad hoc network simulator targeted at large heterogeneous node configurations. It is written in Java and is easily extensible through its modular concept.
    Downloads: 4 This Week
    Last Update:
    See Project
  • 17

    pyGerber2Gcode

    Python Gerber to G-code converter

    pyGerber2Gcode is a Pyhon based simple Gerber to G-code converter.
    Downloads: 4 This Week
    Last Update:
    See Project
  • 18
    IPC 175x Utilities is a collection of software tools to support the IPC 1750 series of supplier declaration standards. These utilities are being developed to help electronics industry supply chain stakeholders implement the IPC 1750 series of standard.
    Downloads: 1 This Week
    Last Update:
    See Project
  • 19
    MyHDL is a Python package for using Python as a hardware description and verification language.
    Downloads: 1 This Week
    Last Update:
    See Project
  • 20

    ApproxAdderLib

    Library of Approximate Adders

    We provide MATLAB and Verilog Models of GeAr, and previously proposed adders (ACA-I, ETAII, ACA-II and GDA) at http://sourceforge.net/projects/approxadderlib/ GeAr is a low latency Generic Accuracy Configurable Adder that provides a higher number of potential configurations compared to state-of-the-art approximate adders, thus enabling a high degree of flexibility and trade-off between performance and output quality. These MATALB and Verilog models can allow software programmer as well as hardware designers to evaluate their code and design. To the best of our knowledge, this is the first open-source library of approximate adders that facilitates reproducible comparisons and further research and development in this direction across various layers of design abstraction. This work is a result of collaborative effort between Chair for Embedded Systems (CES) at Karlsruhe Institute of Technology (KIT), Germany and Vision Image and Signal Processing (VISpro) Lab at SEECS-NUST, Pakistan.
    Downloads: 3 This Week
    Last Update:
    See Project
  • 21
    Covered
    Covered is a Verilog code coverage utility using VCD/LXT/FST dumpfiles (or VPI interface) and the design to generate line, toggle, memory, combinational logic, FSM state/arc and assertion coverage report metrics viewable via GUI or ASCII format. This project is ported to github and can be found at: https://github.com/chiphackers/covered
    Downloads: 3 This Week
    Last Update:
    See Project
  • 22
    This program is a simulator/debugger for Atmel AVR flash microcontrollers, built with Motif (incompatible with Less Tiff). It has language independent interface. This version can almost fully simulates AT90S and ATmega series.
    Downloads: 3 This Week
    Last Update:
    See Project
  • 23
    It is an 8085 simulator. it is having very user friendly graphical user interface. It can be used to test 8085 programs before actualy implementing them on target board. For Win32 version you must have latest GTK+ runtime installed on your system. Find l
    Downloads: 3 This Week
    Last Update:
    See Project
  • 24
    A IC/MEMS layout editor. Features: all angle, font generator, macros, boolean operations, design rule checker, supported formats:Calma GDSII, OASIS (Open Artwork System Interchange Standard), OpenAccess, DXF, CIF (Caltech Intermediate Form), ...
    Downloads: 3 This Week
    Last Update:
    See Project
  • 25
    VTracer is a Verilog Testbench developer aid. Contains well documented Verilog-Perl co-simulation environment (TCP sockets based), structural Verilog parser, demo Testbenches.
    Downloads: 3 This Week
    Last Update:
    See Project