Showing 40 open source projects for "no database"

View related business solutions
  • Sage Intacct Cloud Accounting and Financial Management Software Icon
    Sage Intacct Cloud Accounting and Financial Management Software

    Cloud accounting, payroll, and HR that grows with you

    Drive your organization forward with the right solution at the right price. AI-powered continuous accounting and ERP to support your growth now and into the future.
    Learn More
  • RMM Software | Remote Monitoring Platform and Tools Icon
    RMM Software | Remote Monitoring Platform and Tools

    Best-in-class automation, scalability, and single-pane IT management.

    Don’t settle when it comes to managing your clients’ IT infrastructure. Exceed their expectations with ConnectWise RMM, our MSP RMM software that provides proactive tools and NOC services—regardless of device environment. With the number of new vulnerabilities rising each year, smart patching procedures have never been more important. We automatically test and deploy patches when they are viable and restrict patches that are harmful. Get better protection for clients while you spend less time managing endpoints and more time growing your business. It’s tough to locate, afford, and retain quality talent. In fact, 81% of IT leaders say it’s hard to find the recruits they need. Add ConnectWise RMM, NOC services and get the expertise and problem resolution you need to become the advisor your clients demand—without adding headcount.
    Learn More
  • 1
    Baya - SoC Integration Platform

    Baya - SoC Integration Platform

    Best in class SoC Integration Platform, IP-XACT, Verilog VHDL, UPF

    1. Comes with 200+ high level Tcl commands around SoC platform assembly 2. Easy to start - use the verilog2baya tool to convert existing SoC/SS into Baya 3. Adhoc and Interface based connections 4. Autoconnections 5. Rule based connections between component ports 6. A variety of SoC integration Methodologies 6.a. XLS/CSV Based connections 6.b. Port-to-Port Adhoc connections 6.c. IP-XACT and System Verilog Interface based connections 6.d. ... 7. Maintains a connectivity database...
    Downloads: 2 This Week
    Last Update:
    See Project
  • 2

    System Verilog Parser IEEE 1800 LRM

    IEEE LRM compliant System Verilog Parser in Java with Python, Tcl API

    This parser has been developed to help users to implement their Verilog tool/utility on the top this library. It reads RTL and populates its internal data structures. There are APIs to extract the design information from the database, there are APIs to elaborate every element of the design along with basic expression evaluation capabilities. It has been bundled as an executable JAR file along with a sample application which reads a RTL file(s), elaborates and dumps it back to show the users...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 3

    Free VHDL Parser with Java, Python and T

    IEEE VHDL-93 LRM supported parser implemented in Java, APIs Python/Tcl

    This parser has been developed for those who wants to develop his/her own tool around VHDL RTL. Only synthesizable subset of VHDL is supported and it may not work for machine/tool generated VHDL files. This parser has been developed in Java in order to make it platform independent. It reads RTL and populates its internal object model. There are APIs to extract the design information from the database, APIs to elaborate the design along with expression evaluation capabilities. This tool has been...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 4
    Transistor

    Transistor

    Exploiting Mox Software "Bipolar Transistors" database

    It requires db.sqlite database and images folder containing transistor's implementation pin Bipolar Transistor Database from Mox Software is not available anymore. As on many download websites it was mentioned as open sources (but no source available) I decided to rebuild if almost from scratch. As a transistor database may be useful i decide to share what I've done. It has been written in Purebasic because IDE is free till 800 lines of code written, and mainly because it's very...
    Downloads: 5 This Week
    Last Update:
    See Project
  • Easy management of simple and complex projects Icon
    Easy management of simple and complex projects

    We help different businesses become digital, manage projects, teams, communicate effectively and control tasks online.

    Plan more projects with Worksection. Use Gantt chart and Kanban boards to organize your projects, get your team onboard and assign tasks and due dates.
    Learn More
  • 5
    PEDA is electronic design automation software for schematic and PCB design with unique tools selection stack for manual routing and unified PCB and schematic database developed in Qt5 library.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 6

    megatest

    Run tasks/tests, get trustworthy pass/fail info rolled up

    Distributed test running system. build for running simulations, quality assurance or similar where you need to run a large number of tests. Supports dependencies, iteration, disk space management and log file analysis.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 7
    JSDAI is a toolkit for STEP (ISO 10303), the STandard for the Exchange of Product Model data, that enables linking of CAD, CAM, PDM, PLM, CAx systems. JSDAI supports the development of Express data models (ISO 10303-11) and their implementation in Java.
    Downloads: 1 This Week
    Last Update:
    See Project
  • 8
    Project 2306 IDE Rad MacOS MCU DeveR

    Project 2306 IDE Rad MacOS MCU DeveR

    Electronic design and programming tools suite like Eagle, MpLab

    Currently Only MacOS is Present, PreAlpha means not Ready to use, Application is provided Without Strict Garantee, License not OSI. All others platform Windows, Linux, HaikuOS STILL under TEST, Dummy "Hello world" is provided instead Project2306 IDE : Application pour la programmation de Microcontroleurs et d' Application Electronique Project2306 IDE : for All whom want to Create and Develop on Embed Platform Software as Programming Tools suite and PCB Design Planned...
    Downloads: 1 This Week
    Last Update:
    See Project
  • 9
    SOCGEN is a collection of tools that will help create digital components/ip_cores and then integrate them into a "System on a Chip"(SOC) for use in ASIC or FPGA designs.
    Downloads: 0 This Week
    Last Update:
    See Project
  • Cloudflare secures and ensures the reliability of your external-facing resources such as websites, APIs, and applications. Icon
    It protects your internal resources such as behind-the-firewall applications, teams, and devices.
    Get Started
  • 10
    O.N.O.S

    O.N.O.S

    Open Network Object System

    Open Network Object System A Internet of things Content management system. Would you like to automate and controll remotely your home appliances from internet or lan using your phone /pc ? This program is for you! Internet of things made easy for everyone. Open source , simple to use , no need to know any programming language , you can add your programs easy , it runs bash command!every linux system will run it , portable . No installation required! just copy the folder where...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 11
    DraftCable
    Current work at: https://github.com/jcampos73/DraftCable WARNING: version 1.0.94 previous to 2016-01-22 has a BUG! Download again! Min. Req: Win XP SP3 If you get missing mfc120.dll install vcredist_x86.exe at prog folder CAD design tool for electrical and block diagrams with net list compilation. Tool for creating new parts included. DO NOT lose time copy and pasting cable datasheet. This program, unlike MS Visio or other generic tools, has specific business funcionalities...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 12
    Eng-DB-2 is a light-weight engineering database. It allows to manage components/assemblies and their associated AVLs and technical documentation, assemble BOMs for finished goods and annotate these with quotations received from suppliers.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 13

    LEF2Verilog

    A perl based program to generate stub verilog from LEF

    This program is perl based and generate stud verilog module from LEF Macro. Useful while user need stub verilog for annotation during LEF to OA abstract migration or annotation after GDSII import.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 14
    This (Python) tool allows you to easily create FPGA bitfiles for your embedded system, from several Open Source IPs (compatibles with the OpenCores Wishbone bus) . It will also generates the corresponding drivers (currently only Linux ones).
    Downloads: 0 This Week
    Last Update:
    See Project
  • 15

    STANTOR

    Scada for Home Automation

    ..., switches, position sensors is provided by Stantor permanently in real time. Through the use of management system MySQL database, Stantor offers automation features customizable tasks. In particular it allows cyclical, calendar and planned tasks, but it can alert when an outbreak event (mail,sms,..).It also has the ability to launch computer program for specific uses.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 16
    Electronic Component API

    Electronic Component API

    Search electronic components, datasheets, stock, price, alternatives

    The Ciiva Electronic Component REST API provides programmatic access to the Ciiva Cloud Database. This is the same database which is used to power the Ciiva Electronic Component Search Engine at http://ciiva.com. It is also the same database which is integrated with the Ciiva Bill of Materials Management System (BMMS) at http://ciiva.com/bill-of-materials-software. The API can be used with a wide variety of different programming languages. It allows the user to query the Ciiva Cloud Database...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 17
    Spider PCB

    Spider PCB

    Hierarchical Schematic and PCB

    This project is in a pre-alpha stage and is intended to give a rough idea about the final program. It does not do much more than draw pretty pictures. Hierarchical circuit layout is commonplace amongst IC designers, but Spider PCB brings hierarchical layout to the PCB industry. Not only is the schematic hierarchical, but also the layout. Ever wanted to lay out a 16-band equaliser, with 5 sound channels? Lots of copying and pasting on the PCB-side. Just imagine if you could lay out one...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 18
    Nocmaker is a tool for the design space exploration tool to help in the design of Network on chips. Noc Maker is based on JHDL.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 19
    The Daedalus Design framework is a complete design flow for multi-media embedded Multi-processor System-on-Chip (MPSoC) platforms. It transforms a high level system description into an FPGA prototype in a largely automated way in only a matter of hours.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 20
    A methodology to create netlists for printed circuit board layout using a novel PCB specific HDL as the source language.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 21
    The PARSEC CEE is the primary achievement of several years of effort at NASA's Marshall Space Flight Center. The CEE was developed to allow engineers in the Advanced Concepts Department to rapidly prototype launch vehicle and spacecraft concepts.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 22
    VSYML is an automated symbolic simulator for VHDL designs.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 23

    Audacity-Extra

    dark themed version of free Audacity sound editor

    audacity-extra now provides a sleek dark themed version of the Audacity open source sound editor. The project experiments with Audacity variations. There's a vowel-sound target-practice display for language learners and an analog waveform data logger for embedded systems.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 24
    Netlist database and manipulation API with interfaces to Java and Ruby. Verilog netlist inputs are supported. Project branch continues to evolve: https://github.com/gburdell/nldb including addition of tclsh UI.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 25
    This project is intended to provide a useful design tool for switched capacitor filters. The aim is to calculate the best implementation (with the smallest space needed) for such a filter. It will further be a tool for general filter design later.
    Downloads: 0 This Week
    Last Update:
    See Project
  • Previous
  • You're on page 1
  • 2
  • Next