Thread: [Winmerge-svn] SF.net SVN: winmerge:[5988] trunk (Page 3)
Windows visual diff and merge for files and directories
Brought to you by:
christianlist,
grimmdp
From: <ki...@us...> - 2008-09-30 20:20:03
|
Revision: 5988 http://winmerge.svn.sourceforge.net/winmerge/?rev=5988&view=rev Author: kimmov Date: 2008-09-30 20:19:49 +0000 (Tue, 30 Sep 2008) Log Message: ----------- PATCH: [ 2120174 ] ByteCompare Submitted by Matthias Mayer Modified Paths: -------------- trunk/Docs/Users/ChangeLog.txt trunk/Src/CompareEngines/ByteCompare.cpp Modified: trunk/Docs/Users/ChangeLog.txt =================================================================== --- trunk/Docs/Users/ChangeLog.txt 2008-09-28 13:21:04 UTC (rev 5987) +++ trunk/Docs/Users/ChangeLog.txt 2008-09-30 20:19:49 UTC (rev 5988) @@ -21,6 +21,7 @@ BugFix: Case-sensitive regexp search didn't work (#1991259) BugFix: There were some installer regressions (eg. Installer didn't create Quick Launch icon) (#1460517) + BugFix: Whitespace ignore options didn't work with quick compare (#2120174) New translation: Galician (#2120685) Translation updates: - German (r5934) Modified: trunk/Src/CompareEngines/ByteCompare.cpp =================================================================== --- trunk/Src/CompareEngines/ByteCompare.cpp 2008-09-28 13:21:04 UTC (rev 5987) +++ trunk/Src/CompareEngines/ByteCompare.cpp 2008-09-30 20:19:49 UTC (rev 5988) @@ -116,6 +116,7 @@ // We could compare directly in UCS-2LE here, as an optimization, in that case char buff[2][WMCMPBUFF]; // buffered access to files int i; + int result; UINT diffcode = 0; // area of buffer currently holding data @@ -149,7 +150,7 @@ { if (!eof[i] && bfstart[i]==countof(buff[i])) { - bfstart[i]=bfend[i] = 0; + bfstart[i] = bfend[i] = 0; } if (!eof[i] && bfend[i]<countof(buff[i])-1) { @@ -174,7 +175,7 @@ // remember where we started const char* orig0 = ptr0; - const char* orig1 = ptr1; + const char* orig1 = ptr1; // how far can we go right now? const char* end0 = &buff[0][bfend[0]]; @@ -184,8 +185,9 @@ __int64 offset1 = (ptr1 - &buff[1][0]); // are these two buffers the same? - if (!comparator.CompareBuffers(m_textStats[0], m_textStats[1], - ptr0, ptr1, end0, end1, eof[0], eof[1], offset0, offset1)) + result = comparator.CompareBuffers(m_textStats[0], m_textStats[1], + ptr0, ptr1, end0, end1, eof[0], eof[1], offset0, offset1); + if (result == ByteComparator::RESULT_DIFF) { if (m_pOptions->m_bStopAfterFirstDiff) { @@ -198,13 +200,64 @@ diffcode |= DIFFCODE::DIFF; ptr0 = end0; ptr1 = end1; + // move our current pointers over what we just compared + ASSERT(ptr0 >= orig0); + ASSERT(ptr1 >= orig1); + bfstart[0] += ptr0 - orig0; + bfstart[1] += ptr1 - orig1; } } - else + else if (result == ByteComparator::NEED_MORE_0) { - ptr0 = end0; - ptr1 = end1; + const int m = ptr0 - &buff[0][0]; + const int l = end0 - ptr0 - 1; + //move uncompared data to begin of buff0 + memcpy( &buff[0][0], &buff[0][m], l ); + bfstart[0] = 0; + bfstart[1] = ptr1 - orig1; + bfend[0] = l + 1; } + else if (result == ByteComparator::NEED_MORE_1) + { + const int m = ptr1 - &buff[1][0]; + const int l = end1 - ptr1 - 1; + //move uncompared data to begin of buff1 + memcpy( &buff[1][0], &buff[0][m], l ); + bfstart[1]=0; + bfstart[0]=ptr0 - orig0; + bfend[1] = l + 1; + } + else if (result == ByteComparator::NEED_MORE_BOTH) + { + if ((end0 == ptr0) && (end1 == ptr1)) + { + bfstart[0] = ptr0 - orig0; + bfend[0] = 0; + bfstart[1] = ptr1 - orig1; + bfend[1] = 0; + } + else + { + if (ptr0 < end0) + { + const int m = ptr0 - orig0; + const int l = end0 - ptr0 - 1; + //move uncompared data to begin of buff0 + memcpy( &buff[0][0], &buff[0][m], l ); + bfstart[0] = 0; + bfend[0] = l + 1; + } + if (ptr1 < end1) + { + const int m = ptr1 - orig1; + const int l = end1 - ptr1 - 1; + //move uncompared data to begin of buff1 + memcpy( &buff[1][0], &buff[1][ m], l ); + bfstart[1] = 0; + bfend[1] = l + 1; + } + } + } // did we finish both files? @@ -224,18 +277,11 @@ // If either unfinished, they differ if (ptr0 != end0 || ptr1 != end1) diffcode = (diffcode & DIFFCODE::DIFF); - if (diffcode & DIFFCODE::DIFF) return diffcode | DIFFCODE::DIFF; else return diffcode | DIFFCODE::SAME; } - - // move our current pointers over what we just compared - ASSERT(ptr0 >= orig0); - ASSERT(ptr1 >= orig1); - bfstart[0] += ptr0-orig0; - bfstart[1] += ptr1-orig1; } return diffcode; } This was sent by the SourceForge.net collaborative development platform, the world's largest Open Source development site. |
From: <ge...@us...> - 2008-09-30 21:33:16
|
Revision: 5989 http://winmerge.svn.sourceforge.net/winmerge/?rev=5989&view=rev Author: gerundt Date: 2008-09-30 21:33:01 +0000 (Tue, 30 Sep 2008) Log Message: ----------- PATCH: [ 2136765 ] Change DownloadUrl[] constant to current URL Modified Paths: -------------- trunk/Docs/Users/ChangeLog.txt trunk/Src/Constants.h Modified: trunk/Docs/Users/ChangeLog.txt =================================================================== --- trunk/Docs/Users/ChangeLog.txt 2008-09-30 20:19:49 UTC (rev 5988) +++ trunk/Docs/Users/ChangeLog.txt 2008-09-30 21:33:01 UTC (rev 5989) @@ -10,6 +10,7 @@ Manual updates Plugins: Show processing instructions in XML plugin (#1979321) Add icon for "Compare" menu item (#2119843) + Change download URL to current URL (#2136765) Installer: create backup of ClearCase config file (#2129095) BugFix: ClearCase checkout/commit dialog translation error (#2051069) BugFix: Table of Contents in manual (#2071625) Modified: trunk/Src/Constants.h =================================================================== --- trunk/Src/Constants.h 2008-09-30 20:19:49 UTC (rev 5988) +++ trunk/Src/Constants.h 2008-09-30 21:33:01 UTC (rev 5989) @@ -26,7 +26,7 @@ const TCHAR LicenceUrl[] = _T("http://www.gnu.org/licenses/gpl-2.0.html"); /** @brief WinMerge download page URL. */ -const TCHAR DownloadUrl[] = _T("http://winmerge.org/downloads.php"); +const TCHAR DownloadUrl[] = _T("http://winmerge.org/downloads/"); /** @brief Relative (to WinMerge executable ) path to local help file. */ const TCHAR DocsPath[] = _T("\\Docs\\WinMerge.chm"); This was sent by the SourceForge.net collaborative development platform, the world's largest Open Source development site. |
From: <ge...@us...> - 2008-10-03 20:28:58
|
Revision: 5996 http://winmerge.svn.sourceforge.net/winmerge/?rev=5996&view=rev Author: gerundt Date: 2008-10-03 20:28:52 +0000 (Fri, 03 Oct 2008) Log Message: ----------- PATCH: [ 2141184 ] 24bit icons for "Bookmarks" and "Delete" menu items Modified Paths: -------------- trunk/Docs/Users/ChangeLog.txt trunk/Src/res/clear_bookmarks.bmp trunk/Src/res/delete.bmp trunk/Src/res/next_bookmark.bmp trunk/Src/res/prev_bookmark.bmp trunk/Src/res/toggle_bookmark.bmp Modified: trunk/Docs/Users/ChangeLog.txt =================================================================== --- trunk/Docs/Users/ChangeLog.txt 2008-10-02 17:00:00 UTC (rev 5995) +++ trunk/Docs/Users/ChangeLog.txt 2008-10-03 20:28:52 UTC (rev 5996) @@ -3,6 +3,9 @@ to Subversion revision numbers (rXXXXX). To open the tracker item, go to URL: http://winmerge.org/tracker/[tracker-id] +WinMerge 2.11.1.6 + Use 24bit icons for "Bookmarks" and "Delete" menu items (#2141184) + WinMerge 2.11.1.5 2008-10-02 (r5995) Use external editor to edit file filters (#2082774) Improved command line handling (#2081779) This was sent by the SourceForge.net collaborative development platform, the world's largest Open Source development site. |
From: <sdo...@us...> - 2008-10-05 15:20:20
|
Revision: 5997 http://winmerge.svn.sourceforge.net/winmerge/?rev=5997&view=rev Author: sdottaka Date: 2008-10-05 15:19:11 +0000 (Sun, 05 Oct 2008) Log Message: ----------- PATCH: [1991353] VS2008 project files for x64 build Modified Paths: -------------- trunk/Externals/heksedit/FillWithDlg.cpp trunk/Externals/heksedit/ViewSettingsDlg.cpp trunk/Externals/heksedit/dllmain.cpp trunk/Externals/heksedit/hexwnd.cpp trunk/Externals/heksedit/hexwnd.h trunk/Src/HexMergeFrm.cpp trunk/Src/HexMergeView.cpp Added Paths: ----------- trunk/Externals/expat/lib/expatX64.vcproj trunk/Externals/heksedit/hekseditX64.vcproj trunk/Externals/pcre/Win32/pcreX64.vcproj trunk/Externals/scew/win32/scewX64.vcproj trunk/Src/MergeLangX64.vcproj trunk/Src/MergeX64.vcproj trunk/WinMergeX64.sln Added: trunk/Externals/expat/lib/expatX64.vcproj =================================================================== --- trunk/Externals/expat/lib/expatX64.vcproj (rev 0) +++ trunk/Externals/expat/lib/expatX64.vcproj 2008-10-05 15:19:11 UTC (rev 5997) @@ -0,0 +1,639 @@ +<?xml version="1.0" encoding="Windows-1252"?> +<VisualStudioProject + ProjectType="Visual C++" + Version="9.00" + Name="expat" + ProjectGUID="{657F1CB0-7CBB-4479-A2C9-AE08D9DC30F1}" + RootNamespace="expat" + TargetFrameworkVersion="131072" + > + <Platforms> + <Platform + Name="Win32" + /> + <Platform + Name="x64" + /> + </Platforms> + <ToolFiles> + </ToolFiles> + <Configurations> + <Configuration + Name="Debug|Win32" + OutputDirectory=".\../../../Build/expat/lib/Debug" + IntermediateDirectory=".\../../../BuildTmp/expat/lib/Debug" + ConfigurationType="2" + InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC60.vsprops" + UseOfMFC="0" + ATLMinimizesCRunTimeLibraryUsage="false" + CharacterSet="2" + > + <Tool + Name="VCPreBuildEventTool" + /> + <Tool + Name="VCCustomBuildTool" + /> + <Tool + Name="VCXMLDataGeneratorTool" + /> + <Tool + Name="VCWebServiceProxyGeneratorTool" + /> + <Tool + Name="VCMIDLTool" + PreprocessorDefinitions="_DEBUG" + MkTypLibCompatible="true" + SuppressStartupBanner="true" + TargetEnvironment="1" + TypeLibraryName=".\../../../Build/expat/lib/Debug/expat.tlb" + HeaderFileName="" + /> + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;COMPILED_FROM_DSP;WIN32;_WINDOWS;_USRDLL" + BasicRuntimeChecks="3" + RuntimeLibrary="1" + BrowseInformation="1" + WarningLevel="3" + SuppressStartupBanner="true" + DebugInformationFormat="4" + /> + <Tool + Name="VCManagedResourceCompilerTool" + /> + <Tool + Name="VCResourceCompilerTool" + PreprocessorDefinitions="_DEBUG" + Culture="1033" + /> + <Tool + Name="VCPreLinkEventTool" + /> + <Tool + Name="VCLinkerTool" + OutputFile="../../../Build/expat/lib/Debug/libexpat.dll" + LinkIncremental="2" + SuppressStartupBanner="true" + ModuleDefinitionFile=".\libexpat.def" + GenerateDebugInformation="true" + BaseAddress="0x27000000" + RandomizedBaseAddress="1" + DataExecutionPrevention="0" + ImportLibrary=".\../../../Build/expat/lib/Debug/libexpat.lib" + TargetMachine="1" + /> + <Tool + Name="VCALinkTool" + /> + <Tool + Name="VCManifestTool" + /> + <Tool + Name="VCXDCMakeTool" + /> + <Tool + Name="VCBscMakeTool" + SuppressStartupBanner="true" + OutputFile=".\../../../Build/expat/lib/Debug/expat.bsc" + /> + <Tool + Name="VCFxCopTool" + /> + <Tool + Name="VCAppVerifierTool" + /> + <Tool + Name="VCPostBuildEventTool" + CommandLine="mkdir ..\..\..\Build\expat
copy ..\..\..\Build\expat\lib\debug\libexpat.lib ..\..\..\Build\expat
copy ..\..\..\Build\expat\lib\debug\libexpat.dll ..\..\..\Build\expat
" + /> + </Configuration> + <Configuration + Name="Debug|x64" + OutputDirectory=".\../../../Build/expat/lib/$(PlatformName)/$(ConfigurationName)" + IntermediateDirectory=".\../../../BuildTmp/expat/lib/$(PlatformName)/$(ConfigurationName)" + ConfigurationType="2" + InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC60.vsprops" + UseOfMFC="0" + ATLMinimizesCRunTimeLibraryUsage="false" + CharacterSet="2" + > + <Tool + Name="VCPreBuildEventTool" + /> + <Tool + Name="VCCustomBuildTool" + /> + <Tool + Name="VCXMLDataGeneratorTool" + /> + <Tool + Name="VCWebServiceProxyGeneratorTool" + /> + <Tool + Name="VCMIDLTool" + PreprocessorDefinitions="_DEBUG" + MkTypLibCompatible="true" + SuppressStartupBanner="true" + TargetEnvironment="3" + TypeLibraryName=".\../../../Build/expat/lib/Debug/expat.tlb" + HeaderFileName="" + /> + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;COMPILED_FROM_DSP;WIN64;_WINDOWS;_USRDLL" + BasicRuntimeChecks="3" + RuntimeLibrary="1" + BrowseInformation="1" + WarningLevel="3" + SuppressStartupBanner="true" + DebugInformationFormat="3" + /> + <Tool + Name="VCManagedResourceCompilerTool" + /> + <Tool + Name="VCResourceCompilerTool" + PreprocessorDefinitions="_DEBUG" + Culture="1033" + /> + <Tool + Name="VCPreLinkEventTool" + /> + <Tool + Name="VCLinkerTool" + OutputFile="../../../Build/expat/lib/X64/Debug/libexpat.dll" + LinkIncremental="2" + SuppressStartupBanner="true" + ModuleDefinitionFile=".\libexpat.def" + GenerateDebugInformation="true" + BaseAddress="0x27000000" + RandomizedBaseAddress="1" + DataExecutionPrevention="0" + ImportLibrary=".\../../../Build/expat/lib/X64/Debug/libexpat.lib" + TargetMachine="17" + /> + <Tool + Name="VCALinkTool" + /> + <Tool + Name="VCManifestTool" + /> + <Tool + Name="VCXDCMakeTool" + /> + <Tool + Name="VCBscMakeTool" + SuppressStartupBanner="true" + OutputFile=".\../../../Build/expat/lib/Debug/expat.bsc" + /> + <Tool + Name="VCFxCopTool" + /> + <Tool + Name="VCAppVerifierTool" + /> + <Tool + Name="VCPostBuildEventTool" + CommandLine="mkdir ..\..\..\Build\expat\$(PlatformName)
copy ..\..\..\Build\expat\lib\$(PlatformName)\$(ConfigurationName)\libexpat.lib ..\..\..\Build\expat\$(PlatformName)\
copy ..\..\..\Build\expat\lib\$(PlatformName)\$(ConfigurationName)\libexpat.dll ..\..\..\Build\expat\$(PlatformName)\
" + /> + </Configuration> + <Configuration + Name="Release|Win32" + OutputDirectory=".\../../../Build/expat/lib/Release" + IntermediateDirectory=".\../../../BuildTmp/expat/lib/Release" + ConfigurationType="2" + InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC60.vsprops" + UseOfMFC="0" + ATLMinimizesCRunTimeLibraryUsage="false" + CharacterSet="2" + > + <Tool + Name="VCPreBuildEventTool" + /> + <Tool + Name="VCCustomBuildTool" + /> + <Tool + Name="VCXMLDataGeneratorTool" + /> + <Tool + Name="VCWebServiceProxyGeneratorTool" + /> + <Tool + Name="VCMIDLTool" + PreprocessorDefinitions="NDEBUG" + MkTypLibCompatible="true" + SuppressStartupBanner="true" + TargetEnvironment="1" + TypeLibraryName=".\../../../Build/expat/lib/Release/expat.tlb" + HeaderFileName="" + /> + <Tool + Name="VCCLCompilerTool" + Optimization="2" + InlineFunctionExpansion="1" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS;_USRDLL;COMPILED_FROM_DSP" + StringPooling="true" + RuntimeLibrary="0" + EnableFunctionLevelLinking="true" + WarningLevel="3" + SuppressStartupBanner="true" + /> + <Tool + Name="VCManagedResourceCompilerTool" + /> + <Tool + Name="VCResourceCompilerTool" + PreprocessorDefinitions="NDEBUG" + Culture="1033" + /> + <Tool + Name="VCPreLinkEventTool" + /> + <Tool + Name="VCLinkerTool" + OutputFile="../../../Build/expat/lib/Release/libexpat.dll" + LinkIncremental="1" + SuppressStartupBanner="true" + ModuleDefinitionFile=".\libexpat.def" + BaseAddress="0x27000000" + RandomizedBaseAddress="1" + DataExecutionPrevention="0" + ImportLibrary=".\../../../Build/expat/lib/Release/libexpat.lib" + TargetMachine="1" + /> + <Tool + Name="VCALinkTool" + /> + <Tool + Name="VCManifestTool" + /> + <Tool + Name="VCXDCMakeTool" + /> + <Tool + Name="VCBscMakeTool" + SuppressStartupBanner="true" + OutputFile=".\../../../Build/expat/lib/Release/expat.bsc" + /> + <Tool + Name="VCFxCopTool" + /> + <Tool + Name="VCAppVerifierTool" + /> + <Tool + Name="VCPostBuildEventTool" + CommandLine="mkdir ..\..\..\Build\expat
copy ..\..\..\Build\expat\lib\release\libexpat.lib ..\..\..\Build\expat
copy ..\..\..\Build\expat\lib\release\libexpat.dll ..\..\..\Build\expat
" + /> + </Configuration> + <Configuration + Name="Release|x64" + OutputDirectory=".\../../../Build/expat/lib/$(PlatformName)/$(ConfigurationName)" + IntermediateDirectory=".\../../../BuildTmp/expat/lib/$(PlatformName)/$(ConfigurationName)" + ConfigurationType="2" + InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC60.vsprops" + UseOfMFC="0" + ATLMinimizesCRunTimeLibraryUsage="false" + CharacterSet="2" + > + <Tool + Name="VCPreBuildEventTool" + /> + <Tool + Name="VCCustomBuildTool" + /> + <Tool + Name="VCXMLDataGeneratorTool" + /> + <Tool + Name="VCWebServiceProxyGeneratorTool" + /> + <Tool + Name="VCMIDLTool" + PreprocessorDefinitions="NDEBUG" + MkTypLibCompatible="true" + SuppressStartupBanner="true" + TargetEnvironment="3" + TypeLibraryName=".\../../../Build/expat/lib/Release/expat.tlb" + HeaderFileName="" + /> + <Tool + Name="VCCLCompilerTool" + Optimization="2" + InlineFunctionExpansion="1" + PreprocessorDefinitions="NDEBUG;WIN64;_WINDOWS;_USRDLL;COMPILED_FROM_DSP" + StringPooling="true" + RuntimeLibrary="0" + EnableFunctionLevelLinking="true" + WarningLevel="3" + SuppressStartupBanner="true" + /> + <Tool + Name="VCManagedResourceCompilerTool" + /> + <Tool + Name="VCResourceCompilerTool" + PreprocessorDefinitions="NDEBUG" + Culture="1033" + /> + <Tool + Name="VCPreLinkEventTool" + /> + <Tool + Name="VCLinkerTool" + OutputFile="../../../Build/expat/lib/X64/Release/libexpat.dll" + LinkIncremental="1" + SuppressStartupBanner="true" + ModuleDefinitionFile=".\libexpat.def" + BaseAddress="0x27000000" + RandomizedBaseAddress="1" + DataExecutionPrevention="0" + ImportLibrary=".\../../../Build/expat/lib/X64/Release/libexpat.lib" + TargetMachine="17" + /> + <Tool + Name="VCALinkTool" + /> + <Tool + Name="VCManifestTool" + /> + <Tool + Name="VCXDCMakeTool" + /> + <Tool + Name="VCBscMakeTool" + SuppressStartupBanner="true" + OutputFile=".\../../../Build/expat/lib/Release/expat.bsc" + /> + <Tool + Name="VCFxCopTool" + /> + <Tool + Name="VCAppVerifierTool" + /> + <Tool + Name="VCPostBuildEventTool" + CommandLine="mkdir ..\..\..\Build\expat\$(PlatformName)
copy ..\..\..\Build\expat\lib\$(PlatformName)\$(ConfigurationName)\libexpat.lib ..\..\..\Build\expat\$(PlatformName)\
copy ..\..\..\Build\expat\lib\$(PlatformName)\$(ConfigurationName)\libexpat.dll ..\..\..\Build\expat\$(PlatformName)\
" + /> + </Configuration> + </Configurations> + <References> + </References> + <Files> + <Filter + Name="Source Files" + Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" + > + <File + RelativePath="libexpat.def" + > + </File> + <File + RelativePath="xmlparse.c" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + PreprocessorDefinitions="" + ExceptionHandling="0" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + PreprocessorDefinitions="" + ExceptionHandling="0" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + PreprocessorDefinitions="" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + PreprocessorDefinitions="" + /> + </FileConfiguration> + </File> + <File + RelativePath="xmlrole.c" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + PreprocessorDefinitions="" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + PreprocessorDefinitions="" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + PreprocessorDefinitions="" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + PreprocessorDefinitions="" + /> + </FileConfiguration> + </File> + <File + RelativePath="xmltok.c" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + PreprocessorDefinitions="" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + PreprocessorDefinitions="" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + PreprocessorDefinitions="" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + PreprocessorDefinitions="" + /> + </FileConfiguration> + </File> + <File + RelativePath="xmltok_impl.c" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + PreprocessorDefinitions="" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + PreprocessorDefinitions="" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + PreprocessorDefinitions="" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + PreprocessorDefinitions="" + /> + </FileConfiguration> + </File> + <File + RelativePath="xmltok_ns.c" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + PreprocessorDefinitions="" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + PreprocessorDefinitions="" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + PreprocessorDefinitions="" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + PreprocessorDefinitions="" + /> + </FileConfiguration> + </File> + </Filter> + <Filter + Name="Header Files" + Filter="h;hpp;hxx;hm;inl" + > + <File + RelativePath="ascii.h" + > + </File> + <File + RelativePath="asciitab.h" + > + </File> + <File + RelativePath="expat.h" + > + </File> + <File + RelativePath="expat_external.h" + > + </File> + <File + RelativePath="iasciitab.h" + > + </File> + <File + RelativePath="internal.h" + > + </File> + <File + RelativePath="latin1tab.h" + > + </File> + <File + RelativePath="nametab.h" + > + </File> + <File + RelativePath="utf8tab.h" + > + </File> + <File + RelativePath="xmlrole.h" + > + </File> + <File + RelativePath="xmltok.h" + > + </File> + <File + RelativePath="xmltok_impl.h" + > + </File> + </Filter> + <Filter + Name="Resource Files" + Filter="ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe" + > + </Filter> + </Files> + <Globals> + </Globals> +</VisualStudioProject> Modified: trunk/Externals/heksedit/FillWithDlg.cpp =================================================================== --- trunk/Externals/heksedit/FillWithDlg.cpp 2008-10-03 20:28:52 UTC (rev 5996) +++ trunk/Externals/heksedit/FillWithDlg.cpp 2008-10-05 15:19:11 UTC (rev 5997) @@ -160,7 +160,7 @@ SetWindowText(hEditt, pcFWText);//init hex text SetFocus(hEditt);//give the hex box focus EnableWindow(hEditt,!curtyp); - oldproc = SetWindowLong(hEditt, GWL_WNDPROC, (LONG)HexProc);//override the old proc to be HexProc + oldproc = SetWindowLong(hEditt, GWLP_WNDPROC, (LONG)HexProc);//override the old proc to be HexProc EnableWindow(GetDlgItem(hDlg, IDC_HEXSTAT),!curtyp); HWND typ = GetDlgItem(hDlg, IDC_TYPE); Modified: trunk/Externals/heksedit/ViewSettingsDlg.cpp =================================================================== --- trunk/Externals/heksedit/ViewSettingsDlg.cpp 2008-10-03 20:28:52 UTC (rev 5996) +++ trunk/Externals/heksedit/ViewSettingsDlg.cpp 2008-10-05 15:19:11 UTC (rev 5997) @@ -8,7 +8,7 @@ static WNDPROC NTAPI SubclassAW(HWND hWnd, WNDPROC wndproc) { - return (WNDPROC)AW(hWnd, SetWindowLong)(hWnd, GWL_WNDPROC, (LONG)wndproc); + return (WNDPROC)AW(hWnd, SetWindowLong)(hWnd, GWLP_WNDPROC, (LONG)wndproc); } static WNDPROC DefWndProcDroppedComboBox = 0; Modified: trunk/Externals/heksedit/dllmain.cpp =================================================================== --- trunk/Externals/heksedit/dllmain.cpp 2008-10-03 20:28:52 UTC (rev 5996) +++ trunk/Externals/heksedit/dllmain.cpp 2008-10-05 15:19:11 UTC (rev 5997) @@ -56,8 +56,8 @@ LRESULT CALLBACK HexWndProc(HWND hwnd, UINT iMsg, WPARAM wParam, LPARAM lParam) { if (iMsg == WM_NCCREATE) - SetWindowLong(hwnd, GWL_USERDATA, (LONG)new HexEditorWindow); - HexEditorWindow *pHexWnd = (HexEditorWindow *)GetWindowLong(hwnd, GWL_USERDATA); + SetWindowLong(hwnd, GWLP_USERDATA, (LONG)new HexEditorWindow); + HexEditorWindow *pHexWnd = (HexEditorWindow *)GetWindowLong(hwnd, GWLP_USERDATA); LRESULT lResult = pHexWnd->OnWndMsg(hwnd, iMsg, wParam, lParam); if (iMsg == WM_NCDESTROY) delete pHexWnd; Added: trunk/Externals/heksedit/hekseditX64.vcproj =================================================================== --- trunk/Externals/heksedit/hekseditX64.vcproj (rev 0) +++ trunk/Externals/heksedit/hekseditX64.vcproj 2008-10-05 15:19:11 UTC (rev 5997) @@ -0,0 +1,2408 @@ +<?xml version="1.0" encoding="Windows-1252"?> +<VisualStudioProject + ProjectType="Visual C++" + Version="9.00" + Name="heksedit" + ProjectGUID="{15EE10B9-011A-4781-ACBC-22F1CFEB5610}" + RootNamespace="heksedit" + TargetFrameworkVersion="131072" + > + <Platforms> + <Platform + Name="Win32" + /> + <Platform + Name="x64" + /> + </Platforms> + <ToolFiles> + </ToolFiles> + <Configurations> + <Configuration + Name="Debug|Win32" + OutputDirectory=".\..\..\Build\heksedit\$(ConfigurationName)" + IntermediateDirectory=".\..\..\BuildTmp\heksedit\$(ConfigurationName)" + ConfigurationType="2" + InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops" + UseOfMFC="0" + ATLMinimizesCRunTimeLibraryUsage="false" + > + <Tool + Name="VCPreBuildEventTool" + /> + <Tool + Name="VCCustomBuildTool" + /> + <Tool + Name="VCXMLDataGeneratorTool" + /> + <Tool + Name="VCWebServiceProxyGeneratorTool" + /> + <Tool + Name="VCMIDLTool" + PreprocessorDefinitions="_DEBUG" + MkTypLibCompatible="true" + SuppressStartupBanner="true" + TargetEnvironment="1" + TypeLibraryName="$(OutDir)\heksedit.tlb" + HeaderFileName="" + /> + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + StringPooling="true" + ExceptionHandling="0" + RuntimeLibrary="3" + UsePrecompiledHeader="2" + PrecompiledHeaderThrough="precomp.h" + PrecompiledHeaderFile="$(IntDir)\heksedit.pch" + AssemblerListingLocation="" + ObjectFile="$(IntDir)\" + ProgramDataBaseFileName="$(IntDir)\vc90.pdb" + BrowseInformation="1" + WarningLevel="3" + SuppressStartupBanner="true" + DebugInformationFormat="3" + /> + <Tool + Name="VCManagedResourceCompilerTool" + /> + <Tool + Name="VCResourceCompilerTool" + PreprocessorDefinitions="_DEBUG" + Culture="1031" + /> + <Tool + Name="VCPreLinkEventTool" + CommandLine="PreLink.bat $(IntDir) $(TargetPath)" + /> + <Tool + Name="VCLinkerTool" + LinkLibraryDependencies="true" + AdditionalDependencies="version.lib comctl32.lib wininet.lib shlwapi.lib htmlhelp.lib" + OutputFile="$(OutDir)/heksedit.dll" + LinkIncremental="1" + SuppressStartupBanner="true" + GenerateDebugInformation="true" + ProgramDatabaseFile="$(IntDir)/heksedit.pdb" + SubSystem="2" + RandomizedBaseAddress="1" + DataExecutionPrevention="0" + ImportLibrary="$(OutDir)/heksedit.lib" + TargetMachine="1" + /> + <Tool + Name="VCALinkTool" + /> + <Tool + Name="VCManifestTool" + /> + <Tool + Name="VCXDCMakeTool" + /> + <Tool + Name="VCBscMakeTool" + /> + <Tool + Name="VCFxCopTool" + /> + <Tool + Name="VCAppVerifierTool" + /> + <Tool + Name="VCPostBuildEventTool" + CommandLine="copy $(OutDir)\heksedit.dll ..\..\Build\heksedit" + /> + </Configuration> + <Configuration + Name="Debug|x64" + OutputDirectory=".\..\..\Build\heksedit\$(PlatformName)\$(ConfigurationName)" + IntermediateDirectory=".\..\..\BuildTmp\heksedit\$(PlatformName)\$(ConfigurationName)" + ConfigurationType="2" + InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops" + UseOfMFC="0" + ATLMinimizesCRunTimeLibraryUsage="false" + > + <Tool + Name="VCPreBuildEventTool" + /> + <Tool + Name="VCCustomBuildTool" + /> + <Tool + Name="VCXMLDataGeneratorTool" + /> + <Tool + Name="VCWebServiceProxyGeneratorTool" + /> + <Tool + Name="VCMIDLTool" + PreprocessorDefinitions="_DEBUG" + MkTypLibCompatible="true" + SuppressStartupBanner="true" + TargetEnvironment="3" + TypeLibraryName="$(OutDir)\heksedit.tlb" + HeaderFileName="" + /> + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + StringPooling="true" + ExceptionHandling="0" + RuntimeLibrary="3" + UsePrecompiledHeader="2" + PrecompiledHeaderThrough="precomp.h" + PrecompiledHeaderFile="$(IntDir)\heksedit.pch" + AssemblerListingLocation="" + ObjectFile="$(IntDir)\" + ProgramDataBaseFileName="$(IntDir)\vc90.pdb" + BrowseInformation="1" + WarningLevel="3" + SuppressStartupBanner="true" + DebugInformationFormat="3" + /> + <Tool + Name="VCManagedResourceCompilerTool" + /> + <Tool + Name="VCResourceCompilerTool" + PreprocessorDefinitions="_DEBUG" + Culture="1031" + /> + <Tool + Name="VCPreLinkEventTool" + CommandLine="PreLink.bat $(IntDir) $(TargetPath)" + /> + <Tool + Name="VCLinkerTool" + LinkLibraryDependencies="true" + AdditionalDependencies="version.lib comctl32.lib wininet.lib shlwapi.lib htmlhelp.lib" + OutputFile="$(OutDir)/heksedit.dll" + LinkIncremental="1" + SuppressStartupBanner="true" + GenerateDebugInformation="true" + ProgramDatabaseFile="$(IntDir)/heksedit.pdb" + SubSystem="2" + RandomizedBaseAddress="1" + DataExecutionPrevention="0" + ImportLibrary="$(OutDir)/heksedit.lib" + TargetMachine="17" + /> + <Tool + Name="VCALinkTool" + /> + <Tool + Name="VCManifestTool" + /> + <Tool + Name="VCXDCMakeTool" + /> + <Tool + Name="VCBscMakeTool" + /> + <Tool + Name="VCFxCopTool" + /> + <Tool + Name="VCAppVerifierTool" + /> + <Tool + Name="VCPostBuildEventTool" + CommandLine="mkdir .\..\..\Build\heksedit\$(PlatformName)
copy $(OutDir)\heksedit.dll .\..\..\Build\heksedit\$(PlatformName)
" + /> + </Configuration> + <Configuration + Name="Release|Win32" + OutputDirectory=".\..\..\Build\heksedit\$(ConfigurationName)" + IntermediateDirectory=".\..\..\BuildTmp\heksedit\$(ConfigurationName)" + ConfigurationType="2" + InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops" + UseOfMFC="0" + ATLMinimizesCRunTimeLibraryUsage="false" + > + <Tool + Name="VCPreBuildEventTool" + /> + <Tool + Name="VCCustomBuildTool" + /> + <Tool + Name="VCXMLDataGeneratorTool" + /> + <Tool + Name="VCWebServiceProxyGeneratorTool" + /> + <Tool + Name="VCMIDLTool" + PreprocessorDefinitions="NDEBUG" + MkTypLibCompatible="true" + SuppressStartupBanner="true" + TargetEnvironment="1" + TypeLibraryName="$(OutDir)\heksedit.tlb" + HeaderFileName="" + /> + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + StringPooling="true" + ExceptionHandling="0" + RuntimeLibrary="2" + UsePrecompiledHeader="2" + PrecompiledHeaderThrough="precomp.h" + PrecompiledHeaderFile="$(IntDir)\heksedit.pch" + AssemblerListingLocation="" + ObjectFile="$(IntDir)\" + ProgramDataBaseFileName="$(IntDir)\vc90.pdb" + BrowseInformation="1" + WarningLevel="3" + SuppressStartupBanner="true" + /> + <Tool + Name="VCManagedResourceCompilerTool" + /> + <Tool + Name="VCResourceCompilerTool" + PreprocessorDefinitions="NDEBUG" + Culture="1031" + /> + <Tool + Name="VCPreLinkEventTool" + CommandLine="PreLink.bat $(IntDir) $(TargetPath)" + /> + <Tool + Name="VCLinkerTool" + LinkLibraryDependencies="true" + AdditionalDependencies="version.lib comctl32.lib wininet.lib shlwapi.lib htmlhelp.lib" + OutputFile="$(OutDir)/heksedit.dll" + LinkIncremental="1" + SuppressStartupBanner="true" + ProgramDatabaseFile="$(IntDir)/heksedit.pdb" + SubSystem="2" + RandomizedBaseAddress="1" + DataExecutionPrevention="0" + ImportLibrary="$(OutDir)/heksedit.lib" + TargetMachine="1" + /> + <Tool + Name="VCALinkTool" + /> + <Tool + Name="VCManifestTool" + /> + <Tool + Name="VCXDCMakeTool" + /> + <Tool + Name="VCBscMakeTool" + /> + <Tool + Name="VCFxCopTool" + /> + <Tool + Name="VCAppVerifierTool" + /> + <Tool + Name="VCPostBuildEventTool" + CommandLine="copy $(OutDir)\heksedit.dll ..\..\Build\heksedit" + /> + </Configuration> + <Configuration + Name="Release|x64" + OutputDirectory=".\..\..\Build\heksedit\$(PlatformName)\$(ConfigurationName)" + IntermediateDirectory=".\..\..\BuildTmp\heksedit\$(PlatformName)\$(ConfigurationName)" + ConfigurationType="2" + InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops" + UseOfMFC="0" + ATLMinimizesCRunTimeLibraryUsage="false" + > + <Tool + Name="VCPreBuildEventTool" + /> + <Tool + Name="VCCustomBuildTool" + /> + <Tool + Name="VCXMLDataGeneratorTool" + /> + <Tool + Name="VCWebServiceProxyGeneratorTool" + /> + <Tool + Name="VCMIDLTool" + PreprocessorDefinitions="NDEBUG" + MkTypLibCompatible="true" + SuppressStartupBanner="true" + TargetEnvironment="3" + TypeLibraryName="$(OutDir)\heksedit.tlb" + HeaderFileName="" + /> + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + StringPooling="true" + ExceptionHandling="0" + RuntimeLibrary="2" + UsePrecompiledHeader="2" + PrecompiledHeaderThrough="precomp.h" + PrecompiledHeaderFile="$(IntDir)\heksedit.pch" + AssemblerListingLocation="" + ObjectFile="$(IntDir)\" + ProgramDataBaseFileName="$(IntDir)\vc90.pdb" + BrowseInformation="1" + WarningLevel="3" + SuppressStartupBanner="true" + /> + <Tool + Name="VCManagedResourceCompilerTool" + /> + <Tool + Name="VCResourceCompilerTool" + PreprocessorDefinitions="NDEBUG" + Culture="1031" + /> + <Tool + Name="VCPreLinkEventTool" + CommandLine="PreLink.bat $(IntDir) $(TargetPath)" + /> + <Tool + Name="VCLinkerTool" + LinkLibraryDependencies="true" + AdditionalDependencies="version.lib comctl32.lib wininet.lib shlwapi.lib htmlhelp.lib" + OutputFile="$(OutDir)/heksedit.dll" + LinkIncremental="1" + SuppressStartupBanner="true" + ProgramDatabaseFile="$(IntDir)/heksedit.pdb" + SubSystem="2" + RandomizedBaseAddress="1" + DataExecutionPrevention="0" + ImportLibrary="$(OutDir)/heksedit.lib" + TargetMachine="17" + /> + <Tool + Name="VCALinkTool" + /> + <Tool + Name="VCManifestTool" + /> + <Tool + Name="VCXDCMakeTool" + /> + <Tool + Name="VCBscMakeTool" + /> + <Tool + Name="VCFxCopTool" + /> + <Tool + Name="VCAppVerifierTool" + /> + <Tool + Name="VCPostBuildEventTool" + CommandLine="mkdir .\..\..\Build\heksedit\$(PlatformName)
copy $(OutDir)\heksedit.dll .\..\..\Build\heksedit\$(PlatformName)
" + /> + </Configuration> + </Configurations> + <References> + </References> + <Files> + <Filter + Name="Source Files" + Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" + > + <File + RelativePath="AddBmkDlg.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="AppendDlg.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="BinTrans.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="BitManipDlg.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="ChooseDiffDlg.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="clipboard.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="CopyDlg.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="CopyHexdumpDlg.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="CutDlg.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="dllmain.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath=".\DllProxies.cpp" + > + </File> + <File + RelativePath="EnterDecimalValueDlg.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="FillWithDlg.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="FindDlg.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="gktools.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="GoToDlg.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="gtools.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="hexwdlg.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="hexwnd.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="hgstream.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="ido.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="ids.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="idt.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="InvokeHtmlHelp.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath=".\LangArray.cpp" + > + </File> + <File + RelativePath="LoadHexFile.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="MoveCopyDlg.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="OpenPartiallyDlg.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="PasteDlg.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="PDrive95.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="PDriveNT.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + </File> + <File + RelativePath="PhysicalDrive.cpp" + > + <FileConfiguration + Name="Debug|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Debug|x64" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="_DEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + Name="Release|Win32" + > + <Tool + Name="VCCLCompilerTool" + Optimization="0" + PreprocessorDefinitions="NDEBUG;WIN32;_WINDOWS" + BrowseInformation="1" + /> + </FileConfiguration> + <FileConfiguration + N... [truncated message content] |
From: <ki...@us...> - 2008-10-07 18:36:38
|
Revision: 6002 http://winmerge.svn.sourceforge.net/winmerge/?rev=6002&view=rev Author: kimmov Date: 2008-10-07 18:36:33 +0000 (Tue, 07 Oct 2008) Log Message: ----------- BUG: [ 1971868 ] Translatable strings having spaces before colons Modified Paths: -------------- trunk/Docs/Users/ChangeLog.txt trunk/Src/Languages/English.pot trunk/Src/Merge.rc Modified: trunk/Docs/Users/ChangeLog.txt =================================================================== --- trunk/Docs/Users/ChangeLog.txt 2008-10-06 21:13:54 UTC (rev 6001) +++ trunk/Docs/Users/ChangeLog.txt 2008-10-07 18:36:33 UTC (rev 6002) @@ -5,6 +5,7 @@ WinMerge 2.11.1.6 Use 24bit icons for "Bookmarks" and "Delete" menu items (#2141184) + BugFix: Translatable strings having space before colon (#1971868) WinMerge 2.11.1.5 2008-10-02 (r5995) Use external editor to edit file filters (#2082774) Modified: trunk/Src/Languages/English.pot =================================================================== --- trunk/Src/Languages/English.pot 2008-10-06 21:13:54 UTC (rev 6001) +++ trunk/Src/Languages/English.pot 2008-10-07 18:36:33 UTC (rev 6002) @@ -8,7 +8,7 @@ msgstr "" "Project-Id-Version: WinMerge\n" "Report-Msgid-Bugs-To: http://sourceforge.net/tracker/?group_id=13216&atid=113216\n" -"POT-Creation-Date: 2008-08-21 18:29+0000\n" +"POT-Creation-Date: 2008-10-07 21:33+0000\n" "PO-Revision-Date: \n" "Last-Translator: \n" "Language-Team: English <win...@li...>\n" @@ -1250,6 +1250,7 @@ msgstr "" #: Merge.rc:748 +#: Merge.rc:2051 #, c-format msgid "&Path to cleartool.exe:" msgstr "" @@ -2038,7 +2039,7 @@ #: Merge.rc:1277 #, c-format -msgid "Detect codepage info for these files : .html, .rc, .xml \nneed to restart session" +msgid "Detect codepage info for these files: .html, .rc, .xml \nneed to restart session" msgstr "" #: Merge.rc:1280 @@ -2053,7 +2054,7 @@ #: Merge.rc:1284 #, c-format -msgid "Custom codepage :" +msgid "Custom codepage:" msgstr "" #: Merge.rc:1292 @@ -3263,14 +3264,9 @@ msgid "Filters were updated. Do you want to refresh all open folder compares?\n\nIf you do not want to refresh all compares now you can select No and refresh compares later." msgstr "" -#: Merge.rc:2051 -#, c-format -msgid "&Path to cleartool.exe :" -msgstr "" - #: Merge.rc:2052 #, c-format -msgid "&Path to SS.EXE :" +msgid "&Path to SS.EXE:" msgstr "" #: Merge.rc:2058 Modified: trunk/Src/Merge.rc =================================================================== --- trunk/Src/Merge.rc 2008-10-06 21:13:54 UTC (rev 6001) +++ trunk/Src/Merge.rc 2008-10-07 18:36:33 UTC (rev 6002) @@ -1274,14 +1274,14 @@ GROUPBOX "Default Codepage",IDC_STATIC,7,7,221,91 LTEXT "Select the default codepage assumed when loading non-Unicode files:", IDC_STATIC,14,20,208,19 - CONTROL "Detect codepage info for these files : .html, .rc, .xml \nneed to restart session", + CONTROL "Detect codepage info for these files: .html, .rc, .xml \nneed to restart session", IDC_DETECT_CODEPAGE,"Button",BS_AUTOCHECKBOX | BS_TOP | BS_MULTILINE | WS_TABSTOP,13,104,210,29 CONTROL "System codepage",IDC_CP_SYSTEM,"Button", BS_AUTORADIOBUTTON | WS_GROUP,13,42,210,10 CONTROL "According to WinMerge User Interface",IDC_CP_UI,"Button", BS_AUTORADIOBUTTON,13,55,210,10 - CONTROL "Custom codepage :",IDC_CP_CUSTOM,"Button", + CONTROL "Custom codepage:",IDC_CP_CUSTOM,"Button", BS_AUTORADIOBUTTON,13,68,210,10 EDITTEXT IDC_CUSTOM_CP_NUMBER,26,80,56,13,ES_AUTOHSCROLL END @@ -2048,8 +2048,8 @@ // VERSION CONTROL OPTIONS STRINGTABLE BEGIN - IDS_CC_CMD "&Path to cleartool.exe :" - IDS_VSS_CMD "&Path to SS.EXE :" + IDS_CC_CMD "&Path to cleartool.exe:" + IDS_VSS_CMD "&Path to SS.EXE:" END // WINDOWS TITLES This was sent by the SourceForge.net collaborative development platform, the world's largest Open Source development site. |
From: <sdo...@us...> - 2008-10-09 15:00:38
|
Revision: 6006 http://winmerge.svn.sourceforge.net/winmerge/?rev=6006&view=rev Author: sdottaka Date: 2008-10-09 15:00:27 +0000 (Thu, 09 Oct 2008) Log Message: ----------- PATCH: [2153339] Patch for 'BUG: 2138555 and 2068790' - 2138555: Cursor position when comparison files are the same - 2068790: "View Margins" & some .HTML Files: Odd Behaviour Modified Paths: -------------- trunk/Docs/Users/ChangeLog.txt trunk/Src/editlib/ccrystaltextview.cpp Modified: trunk/Docs/Users/ChangeLog.txt =================================================================== --- trunk/Docs/Users/ChangeLog.txt 2008-10-07 21:42:43 UTC (rev 6005) +++ trunk/Docs/Users/ChangeLog.txt 2008-10-09 15:00:27 UTC (rev 6006) @@ -6,6 +6,8 @@ WinMerge 2.11.1.6 Use 24bit icons for "Bookmarks" and "Delete" menu items (#2141184) BugFix: Translatable strings having space before colon (#1971868) + BugFix: Wrong cursor position when disabling selection margin (#2138555) + BugFix: Showed a black line when disabling selection margin (#2068790) WinMerge 2.11.1.5 2008-10-02 (r5995) Use external editor to edit file filters (#2082774) Modified: trunk/Src/editlib/ccrystaltextview.cpp =================================================================== --- trunk/Src/editlib/ccrystaltextview.cpp 2008-10-07 21:42:43 UTC (rev 6005) +++ trunk/Src/editlib/ccrystaltextview.cpp 2008-10-09 15:00:27 UTC (rev 6006) @@ -4290,9 +4290,10 @@ m_bSelMargin = bSelMargin; if (::IsWindow (m_hWnd)) { - m_nScreenChars = -1; - Invalidate (); + InvalidateScreenRect (); + m_nTopSubLine = GetSubLineIndex(m_nTopLine); RecalcHorzScrollBar (); + UpdateCaret (); } } } This was sent by the SourceForge.net collaborative development platform, the world's largest Open Source development site. |
From: <ki...@us...> - 2008-10-16 16:43:22
|
Revision: 6018 http://winmerge.svn.sourceforge.net/winmerge/?rev=6018&view=rev Author: kimmov Date: 2008-10-16 16:43:16 +0000 (Thu, 16 Oct 2008) Log Message: ----------- PATCH: [ 2129095 ] Installer: create backup from ClearCase map file Only create the backup file first time we modify the mapping file. Modified Paths: -------------- trunk/Docs/Users/ChangeLog.txt trunk/Installer/InnoSetup/WinMerge.iss Modified: trunk/Docs/Users/ChangeLog.txt =================================================================== --- trunk/Docs/Users/ChangeLog.txt 2008-10-16 14:06:16 UTC (rev 6017) +++ trunk/Docs/Users/ChangeLog.txt 2008-10-16 16:43:16 UTC (rev 6018) @@ -5,6 +5,7 @@ WinMerge 2.11.1.6 Use 24bit icons for "Bookmarks" and "Delete" menu items (#2141184) + Installer: create backup of ClearCase config file (#2129095) BugFix: Translatable strings having space before colon (#1971868) BugFix: Wrong cursor position when disabling selection margin (#2138555) BugFix: Showed a black line when disabling selection margin (#2068790) Modified: trunk/Installer/InnoSetup/WinMerge.iss =================================================================== --- trunk/Installer/InnoSetup/WinMerge.iss 2008-10-16 14:06:16 UTC (rev 6017) +++ trunk/Installer/InnoSetup/WinMerge.iss 2008-10-16 16:43:16 UTC (rev 6018) @@ -979,8 +979,12 @@ Begin FileName := ClearCaseMapFile(); - BakFile := FileName + '.bak'; - FileCopy(FileName, BakFile, True); + {Create a backup file of the original file first time it gets modified} + BakFile := FileName + '.original'; + if not FileExists(BakFile) then + begin + FileCopy(FileName, BakFile, True); + end; MapFile := TStringList.Create(); {Read the entire map file to a string list} MapFile.LoadFromFile(FileName); This was sent by the SourceForge.net collaborative development platform, the world's largest Open Source development site. |
From: <ki...@us...> - 2008-10-20 18:07:29
|
Revision: 6021 http://winmerge.svn.sourceforge.net/winmerge/?rev=6021&view=rev Author: kimmov Date: 2008-10-20 18:07:27 +0000 (Mon, 20 Oct 2008) Log Message: ----------- PATCH: [ 2175736 ] Add compare statuses without bin/text info Modified Paths: -------------- trunk/Docs/Users/ChangeLog.txt trunk/Src/CompareEngines/ByteCompare.cpp trunk/Src/DiffItem.h trunk/Src/DirView.cpp trunk/Src/DirViewColItems.cpp trunk/Src/FolderCmp.cpp trunk/Src/Languages/English.pot trunk/Src/Merge.rc trunk/Src/Merge2.rc trunk/Src/MergeDoc.cpp trunk/Src/res/equalfile.ico trunk/Src/resource.h Added Paths: ----------- trunk/Src/res/equaltextfile.ico trunk/Src/res/notequaltextfile.ico Modified: trunk/Docs/Users/ChangeLog.txt =================================================================== --- trunk/Docs/Users/ChangeLog.txt 2008-10-20 12:04:35 UTC (rev 6020) +++ trunk/Docs/Users/ChangeLog.txt 2008-10-20 18:07:27 UTC (rev 6021) @@ -4,6 +4,7 @@ http://winmerge.org/tracker/[tracker-id] WinMerge 2.11.1.6 + General compare status for files (not known if text/binary) (#2175736) Use 24bit icons for "Bookmarks" and "Delete" menu items (#2141184) Installer: create backup of ClearCase config file (#2129095) BugFix: Translatable strings having space before colon (#1971868) Modified: trunk/Src/CompareEngines/ByteCompare.cpp =================================================================== --- trunk/Src/CompareEngines/ByteCompare.cpp 2008-10-20 12:04:35 UTC (rev 6020) +++ trunk/Src/CompareEngines/ByteCompare.cpp 2008-10-20 18:07:27 UTC (rev 6021) @@ -259,11 +259,11 @@ } } - - // did we finish both files? + // Did we finish both files? + // We set the text/binary status only for fully compared files. Only + // then the result is reliable. if (eof[0] && eof[1]) { - BOOL bBin0 = (m_textStats[0].nzeros>0); BOOL bBin1 = (m_textStats[1].nzeros>0); @@ -273,6 +273,8 @@ diffcode |= DIFFCODE::BINSIDE1; else if (bBin1) diffcode |= DIFFCODE::BINSIDE2; + else + diffcode |= DIFFCODE::TEXT; // If either unfinished, they differ if (ptr0 != end0 || ptr1 != end1) Modified: trunk/Src/DiffItem.h =================================================================== --- trunk/Src/DiffItem.h 2008-10-20 12:04:35 UTC (rev 6020) +++ trunk/Src/DiffItem.h 2008-10-20 18:07:27 UTC (rev 6021) @@ -104,6 +104,8 @@ // filter status bool isResultFiltered() const { return CheckFilter(diffcode, DIFFCODE::SKIPPED); } // type + bool isText() const { return Check(diffcode, DIFFCODE::TEXTFLAGS, DIFFCODE::TEXT); } + void setText() { Set(DIFFCODE::TEXTFLAGS, DIFFCODE::TEXT); } bool isBin() const { return Check(diffcode, DIFFCODE::TEXTFLAGS, DIFFCODE::BIN) || Check(diffcode, DIFFCODE::TEXTFLAGS, DIFFCODE::BINSIDE1) || Check(diffcode, DIFFCODE::TEXTFLAGS, DIFFCODE::BINSIDE2); } Modified: trunk/Src/DirView.cpp =================================================================== --- trunk/Src/DirView.cpp 2008-10-20 12:04:35 UTC (rev 6020) +++ trunk/Src/DirView.cpp 2008-10-20 18:07:27 UTC (rev 6021) @@ -94,6 +94,8 @@ DIFFIMG_DIRUP, DIFFIMG_DIRUP_DISABLE, DIFFIMG_ABORT, + DIFFIMG_TEXTDIFF, + DIFFIMG_TEXTSAME, }; @@ -282,6 +284,8 @@ m_ctlSortHeader.SubclassWindow(hWnd); // Load the icons used for the list view (to reflect diff status) + // NOTE: these must be in the exactly the same order than in enum + // definition in begin of this file! VERIFY (m_imageList.Create (iconCX, iconCY, ILC_COLOR32 | ILC_MASK, 15, 1)); VERIFY(-1 != m_imageList.Add(AfxGetApp()->LoadIcon(IDI_LFILE))); VERIFY(-1 != m_imageList.Add(AfxGetApp()->LoadIcon(IDI_RFILE))); @@ -300,6 +304,8 @@ VERIFY(-1 != m_imageList.Add(AfxGetApp()->LoadIcon(IDI_FOLDERUP))); VERIFY(-1 != m_imageList.Add(AfxGetApp()->LoadIcon(IDI_FOLDERUP_DISABLE))); VERIFY(-1 != m_imageList.Add(AfxGetApp()->LoadIcon(IDI_COMPARE_ABORTED))); + VERIFY(-1 != m_imageList.Add(AfxGetApp()->LoadIcon(IDI_NOTEQUALTEXTFILE))); + VERIFY(-1 != m_imageList.Add(AfxGetApp()->LoadIcon(IDI_EQUALTEXTFILE))); m_pList->SetImageList (&m_imageList, LVSIL_SMALL); // Load the icons used for the list view (expanded/collapsed state icons) @@ -344,7 +350,14 @@ if (di.diffcode.isDirectory()) return DIFFIMG_DIRSAME; else - return (di.diffcode.isBin() ? DIFFIMG_BINSAME : DIFFIMG_SAME); + { + if (di.diffcode.isText()) + return DIFFIMG_TEXTSAME; + else if (di.diffcode.isBin()) + return DIFFIMG_BINSAME; + else + return DIFFIMG_SAME; + } } // diff if (di.diffcode.isResultDiff()) @@ -352,7 +365,14 @@ if (di.diffcode.isDirectory()) return DIFFIMG_DIRDIFF; else - return (di.diffcode.isBin() ? DIFFIMG_BINDIFF : DIFFIMG_DIFF); + { + if (di.diffcode.isText()) + return DIFFIMG_TEXTDIFF; + else if (di.diffcode.isBin()) + return DIFFIMG_BINDIFF; + else + return DIFFIMG_DIFF; + } } return (di.diffcode.isDirectory() ? DIFFIMG_DIR : DIFFIMG_ABORT); } Modified: trunk/Src/DirViewColItems.cpp =================================================================== --- trunk/Src/DirViewColItems.cpp 2008-10-20 12:04:35 UTC (rev 6020) +++ trunk/Src/DirViewColItems.cpp 2008-10-20 18:07:27 UTC (rev 6021) @@ -272,14 +272,18 @@ } else if (di.diffcode.isResultSame()) { - if (di.diffcode.isBin()) + if (di.diffcode.isText()) + s = theApp.LoadString(IDS_TEXT_FILES_SAME); + else if (di.diffcode.isBin()) s = theApp.LoadString(IDS_BIN_FILES_SAME); else s = theApp.LoadString(IDS_IDENTICAL); } else if (di.diffcode.isResultDiff()) // diff { - if (di.diffcode.isBin()) + if (di.diffcode.isText()) + s = theApp.LoadString(IDS_TEXT_FILES_DIFF); + else if (di.diffcode.isBin()) s = theApp.LoadString(IDS_BIN_FILES_DIFF); else if (di.diffcode.isDirectory()) s = theApp.LoadString(IDS_FOLDERS_ARE_DIFFERENT); Modified: trunk/Src/FolderCmp.cpp =================================================================== --- trunk/Src/FolderCmp.cpp 2008-10-20 12:04:35 UTC (rev 6020) +++ trunk/Src/FolderCmp.cpp 2008-10-20 18:07:27 UTC (rev 6021) @@ -292,9 +292,9 @@ nTimeDiff -= SmallTimeDiff; } if (nTimeDiff <= 0) - code = DIFFCODE::TEXT | DIFFCODE::SAME; + code = DIFFCODE::SAME; else - code = DIFFCODE::TEXT | DIFFCODE::DIFF; + code = DIFFCODE::DIFF; } else { @@ -302,9 +302,9 @@ // set error status, unless we have DATE_SIZE -compare // when we have still hope for size compare.. if (pCtxt->m_nCompMethod == CMP_DATE_SIZE) - code = DIFFCODE::TEXT | DIFFCODE::SAME; + code = DIFFCODE::SAME; else - code = DIFFCODE::TEXT | DIFFCODE::CMPERR; + code = DIFFCODE::CMPERR; } // This is actual CMP_DATE_SIZE method.. Modified: trunk/Src/Languages/English.pot =================================================================== --- trunk/Src/Languages/English.pot 2008-10-20 12:04:35 UTC (rev 6020) +++ trunk/Src/Languages/English.pot 2008-10-20 18:07:27 UTC (rev 6021) @@ -8,7 +8,7 @@ msgstr "" "Project-Id-Version: WinMerge\n" "Report-Msgid-Bugs-To: http://sourceforge.net/tracker/?group_id=13216&atid=113216\n" -"POT-Creation-Date: 2008-10-07 21:33+0000\n" +"POT-Creation-Date: 2008-10-20 21:03+0000\n" "PO-Revision-Date: \n" "Last-Translator: \n" "Language-Team: English <win...@li...>\n" @@ -716,7 +716,7 @@ msgstr "" #: Merge.rc:370 -#: Merge.rc:2761 +#: Merge.rc:2767 #, c-format msgid "< Empty >" msgstr "" @@ -3183,7 +3183,7 @@ msgstr "" #: Merge.rc:2014 -#: Merge.rc:2625 +#: Merge.rc:2631 #, c-format msgid "Name" msgstr "" @@ -3204,7 +3204,7 @@ msgstr "" #: Merge.rc:2018 -#: Merge.rc:2627 +#: Merge.rc:2633 #, c-format msgid "Description" msgstr "" @@ -3596,7 +3596,7 @@ msgstr "" #: Merge.rc:2169 -#: Merge.rc:2609 +#: Merge.rc:2615 #, c-format msgid "None" msgstr "" @@ -4008,7 +4008,7 @@ msgstr "" #: Merge.rc:2300 -#: Merge.rc:2605 +#: Merge.rc:2611 #, c-format msgid "Binary" msgstr "" @@ -4078,1508 +4078,1518 @@ msgid "Error" msgstr "" +#: Merge.rc:2321 +#, c-format +msgid "Text files are identical" +msgstr "" + #: Merge.rc:2326 #, c-format +msgid "Text files are different" +msgstr "" + +#: Merge.rc:2332 +#, c-format msgid "Elapsed time: %ld ms" msgstr "" -#: Merge.rc:2327 +#: Merge.rc:2333 #, c-format msgid "1 item selected" msgstr "" -#: Merge.rc:2328 +#: Merge.rc:2334 #, c-format msgid "%1 items selected" msgstr "" -#: Merge.rc:2334 +#: Merge.rc:2340 #, c-format msgid "Filename or folder name." msgstr "" -#: Merge.rc:2335 +#: Merge.rc:2341 #, c-format msgid "Subfolder name when subfolders are included." msgstr "" -#: Merge.rc:2336 +#: Merge.rc:2342 #, c-format msgid "Comparison result, long form." msgstr "" -#: Merge.rc:2337 +#: Merge.rc:2343 #, c-format msgid "Left side modification date." msgstr "" -#: Merge.rc:2338 +#: Merge.rc:2344 #, c-format msgid "Right side modification date." msgstr "" -#: Merge.rc:2339 +#: Merge.rc:2345 #, c-format msgid "File's extension." msgstr "" -#: Merge.rc:2340 +#: Merge.rc:2346 #, c-format msgid "Left file size in bytes." msgstr "" -#: Merge.rc:2341 +#: Merge.rc:2347 #, c-format msgid "Right file size in bytes." msgstr "" -#: Merge.rc:2342 +#: Merge.rc:2348 #, c-format msgid "Left file size abbreviated." msgstr "" -#: Merge.rc:2343 +#: Merge.rc:2349 #, c-format msgid "Right file size abbreviated." msgstr "" -#: Merge.rc:2349 +#: Merge.rc:2355 #, c-format msgid "Left side creation time." msgstr "" -#: Merge.rc:2350 +#: Merge.rc:2356 #, c-format msgid "Right side creation time." msgstr "" -#: Merge.rc:2351 +#: Merge.rc:2357 #, c-format msgid "Tells which side has newer modification date." msgstr "" -#: Merge.rc:2352 +#: Merge.rc:2358 #, c-format msgid "Left side file version, only for some filetypes." msgstr "" -#: Merge.rc:2353 +#: Merge.rc:2359 #, c-format msgid "Right side file version, only for some filetypes." msgstr "" -#: Merge.rc:2354 +#: Merge.rc:2360 #, c-format msgid "Short comparison result." msgstr "" -#: Merge.rc:2355 +#: Merge.rc:2361 #, c-format msgid "Left side attributes." msgstr "" -#: Merge.rc:2356 +#: Merge.rc:2362 #, c-format msgid "Right side attributes." msgstr "" -#: Merge.rc:2357 +#: Merge.rc:2363 #, c-format msgid "Left side file EOL type" msgstr "" -#: Merge.rc:2358 +#: Merge.rc:2364 #, c-format msgid "Right side file EOL type" msgstr "" -#: Merge.rc:2364 +#: Merge.rc:2370 #, c-format msgid "Left side encoding." msgstr "" -#: Merge.rc:2365 +#: Merge.rc:2371 #, c-format msgid "Right side encoding." msgstr "" -#: Merge.rc:2366 +#: Merge.rc:2372 #, c-format msgid "Number of ignored differences in file. These differences are ignored by WinMerge and cannot be merged." msgstr "" -#: Merge.rc:2367 +#: Merge.rc:2373 #, c-format msgid "Number of differences in file. This number does not include ignored differences." msgstr "" -#: Merge.rc:2368 +#: Merge.rc:2374 #, c-format msgid "Shows an asterisk (*) if the file is binary." msgstr "" -#: Merge.rc:2374 +#: Merge.rc:2380 #, c-format msgid "Compare %1 with %2" msgstr "" -#: Merge.rc:2375 +#: Merge.rc:2381 #, c-format msgid "Comma-separated list" msgstr "" -#: Merge.rc:2376 +#: Merge.rc:2382 #, c-format msgid "Tab-separated list" msgstr "" -#: Merge.rc:2377 +#: Merge.rc:2383 #, c-format msgid "Simple HTML" msgstr "" -#: Merge.rc:2378 +#: Merge.rc:2384 #, c-format msgid "Simple XML" msgstr "" -#: Merge.rc:2380 +#: Merge.rc:2386 #, c-format msgid "The report file already exists. Do you want to overwrite existing file?" msgstr "" -#: Merge.rc:2381 +#: Merge.rc:2387 #, c-format msgid "Error creating the report:\n%1" msgstr "" -#: Merge.rc:2382 +#: Merge.rc:2388 #, c-format msgid "The report has been created successfully." msgstr "" -#: Merge.rc:2388 +#: Merge.rc:2394 #, c-format msgid "The same file is opened in both panels." msgstr "" -#: Merge.rc:2389 +#: Merge.rc:2395 #, c-format msgid "The selected files are identical." msgstr "" -#: Merge.rc:2390 +#: Merge.rc:2396 #, c-format msgid "An error occurred while comparing the files." msgstr "" -#: Merge.rc:2391 +#: Merge.rc:2397 #, c-format msgid "Temporary files could not be created. Check your temporary path settings." msgstr "" -#: Merge.rc:2392 +#: Merge.rc:2398 #, c-format msgid "These files use different carriage return types.\n\nDo you want to treat all carriage return types as equivalent for this comparison?\n\nNote: If you always want to treat all carriage return types as equivalent, set the option 'Ignore carriage return differences..' in the Compare tab of the options dialog (available under Edit/Options)." msgstr "" -#: Merge.rc:2393 +#: Merge.rc:2399 #, c-format msgid "The EOL are of different types (Windows/Unix/Mac) in the file '%1'.\n With the current options, they will be unified to the main type.\n Do you want to change the options and preserve the different EOL ?" msgstr "" -#: Merge.rc:2394 +#: Merge.rc:2400 #, c-format msgid "An error occurred while prediffing the file '%1' with the plugin '%2'. The prediffing is not applied any more." msgstr "" -#: Merge.rc:2395 +#: Merge.rc:2401 #, c-format msgid "The selected folder is invalid." msgstr "" -#: Merge.rc:2396 +#: Merge.rc:2402 #, c-format msgid "Cannot open a binary file to editor." msgstr "" -#: Merge.rc:2397 +#: Merge.rc:2403 #, c-format msgid "The folder exists only in other side and cannot be opened.\n\nDo you want to create a matching folder:\n%1\nto the other side and open these folders?" msgstr "" -#: Merge.rc:2403 +#: Merge.rc:2409 #, c-format msgid "Different codepages found in left (cp%d) and right (cp%d) files. \nDisplaying each file in its codepage will give a better display but merging/copying will be dangerous.\nWould you like to treat both files as being in the default windows codepage (recommended)?" msgstr "" -#: Merge.rc:2404 +#: Merge.rc:2410 #, c-format msgid "Warning: Files use different encodings, left=%s and right=%s, and merging may lead to information loss." msgstr "" -#: Merge.rc:2405 +#: Merge.rc:2411 #, c-format msgid "Information lost due to encoding errors: both files" msgstr "" -#: Merge.rc:2406 +#: Merge.rc:2412 #, c-format msgid "Information lost due to encoding errors: right file" msgstr "" -#: Merge.rc:2407 +#: Merge.rc:2413 #, c-format msgid "Information lost due to encoding errors: left file" msgstr "" -#: Merge.rc:2413 +#: Merge.rc:2419 #, c-format msgid "No difference" msgstr "" -#: Merge.rc:2414 +#: Merge.rc:2420 #, c-format msgid "Line difference" msgstr "" -#: Merge.rc:2420 +#: Merge.rc:2426 #, c-format msgid "Replaced %1 string(s)." msgstr "" -#: Merge.rc:2421 +#: Merge.rc:2427 #, c-format msgid "Cannot find string \"%s\"" msgstr "" -#: Merge.rc:2427 +#: Merge.rc:2433 #, c-format msgid "Copy Full Path" msgstr "" -#: Merge.rc:2428 +#: Merge.rc:2434 #, c-format msgid "Copy Filename" msgstr "" -#: Merge.rc:2434 +#: Merge.rc:2440 #, c-format msgid "Location Pane" msgstr "" -#: Merge.rc:2435 +#: Merge.rc:2441 #, c-format msgid "Diff Pane" msgstr "" -#: Merge.rc:2441 +#: Merge.rc:2447 #, c-format msgid "Patch file successfully written." msgstr "" -#: Merge.rc:2442 +#: Merge.rc:2448 #, c-format msgid "1. item is not found or is directory!" msgstr "" -#: Merge.rc:2443 +#: Merge.rc:2449 #, c-format msgid "2. item is not found or is directory!" msgstr "" -#: Merge.rc:2444 +#: Merge.rc:2450 #, c-format msgid "The patch file already exists. Do you want to overwrite it?" msgstr "" -#: Merge.rc:2445 +#: Merge.rc:2451 #, c-format msgid "[%1 files selected]" msgstr "" -#: Merge.rc:2446 +#: Merge.rc:2452 #, c-format msgid "Normal" msgstr "" -#: Merge.rc:2447 +#: Merge.rc:2453 #, c-format msgid "Context" msgstr "" -#: Merge.rc:2448 +#: Merge.rc:2454 #, c-format msgid "Unified" msgstr "" -#: Merge.rc:2449 +#: Merge.rc:2455 #, c-format msgid "Could not write to file %1." msgstr "" -#: Merge.rc:2450 +#: Merge.rc:2456 #, c-format msgid "The specified output path is not an absolute path: %1" msgstr "" -#: Merge.rc:2451 +#: Merge.rc:2457 #, c-format msgid "Specify an output file" msgstr "" -#: Merge.rc:2452 +#: Merge.rc:2458 #, c-format msgid "Cannot create a patch file from binary files." msgstr "" -#: Merge.rc:2453 +#: Merge.rc:2459 #, c-format msgid "Cannot create a patch file from directories." msgstr "" -#: Merge.rc:2454 +#: Merge.rc:2460 #, c-format msgid "Please save all files first.\n\nCreating a patch requires that there are no unsaved changes in files." msgstr "" -#: Merge.rc:2455 +#: Merge.rc:2461 #, c-format msgid "Folder does not exist." msgstr "" -#: Merge.rc:2460 +#: Merge.rc:2466 #, c-format msgid "Current directory comparison results will be cleared." msgstr "" -#: Merge.rc:2461 +#: Merge.rc:2467 #, c-format msgid "Archive support is not enabled.\nAll needed components (7-zip and/or Merge7z*.dll) for archive support cannot be found.\nSee manual for more info about archive support and how to enable it." msgstr "" -#: Merge.rc:2462 +#: Merge.rc:2468 #, c-format msgid "\nNote: 7-Zip integration is disabled in WinMerge settings." msgstr "" -#: Merge.rc:2463 +#: Merge.rc:2469 #, c-format msgid "\nNote: 7-Zip integration is restricted to standalone operation in WinMerge settings." msgstr "" -#: Merge.rc:2464 +#: Merge.rc:2470 #, c-format msgid "Select file for export" msgstr "" -#: Merge.rc:2465 +#: Merge.rc:2471 #, c-format msgid "Select file for import" msgstr "" -#: Merge.rc:2466 +#: Merge.rc:2472 #, c-format msgid "Options imported from the file." msgstr "" -#: Merge.rc:2467 +#: Merge.rc:2473 #, c-format msgid "Options exported to the file." msgstr "" -#: Merge.rc:2468 +#: Merge.rc:2474 #, c-format msgid "Failed to import options from the file." msgstr "" -#: Merge.rc:2469 +#: Merge.rc:2475 #, c-format msgid "Failed to write options to the file." msgstr "" -#: Merge.rc:2470 +#: Merge.rc:2476 #, c-format msgid "You are about to close several compare windows.\n\nDo you want to continue?" msgstr "" -#: Merge.rc:2476 +#: Merge.rc:2482 #, c-format msgid "Regular expression error" msgstr "" -#: Merge.rc:2477 +#: Merge.rc:2483 #, c-format msgid "No match" msgstr "" -#: Merge.rc:2478 +#: Merge.rc:2484 #, c-format msgid "Invalid regular expression" msgstr "" -#: Merge.rc:2479 +#: Merge.rc:2485 #, c-format msgid "Invalid collation character" msgstr "" -#: Merge.rc:2480 +#: Merge.rc:2486 #, c-format msgid "Invalid character class name" msgstr "" -#: Merge.rc:2481 +#: Merge.rc:2487 #, c-format msgid "Trailing backslash" msgstr "" -#: Merge.rc:2482 +#: Merge.rc:2488 #, c-format msgid "Invalid back reference" msgstr "" -#: Merge.rc:2483 +#: Merge.rc:2489 #, c-format msgid "Unmatched [ or [^" msgstr "" -#: Merge.rc:2484 +#: Merge.rc:2490 #, c-format msgid "Unmatched ( or \\(" msgstr "" -#: Merge.rc:2485 +#: Merge.rc:2491 #, c-format msgid "Unmatched \\{" msgstr "" -#: Merge.rc:2486 +#: Merge.rc:2492 #, c-format msgid "Invalid content of \\{\\}" msgstr "" -#: Merge.rc:2487 +#: Merge.rc:2493 #, c-format msgid "Invalid range end" msgstr "" -#: Merge.rc:2488 +#: Merge.rc:2494 #, c-format msgid "Out of memory" msgstr "" -#: Merge.rc:2489 +#: Merge.rc:2495 #, c-format msgid "Invalid preceding regular expression" msgstr "" -#: Merge.rc:2490 +#: Merge.rc:2496 #, c-format msgid "Premature end of regular expression" msgstr "" -#: Merge.rc:2491 +#: Merge.rc:2497 #, c-format msgid "Regular expression too big" msgstr "" -#: Merge.rc:2496 +#: Merge.rc:2502 #, c-format msgid "Unmatched ) or \\)" msgstr "" -#: Merge.rc:2502 +#: Merge.rc:2508 #, c-format msgid "Western European" msgstr "" -#: Merge.rc:2503 +#: Merge.rc:2509 #, c-format msgid "Eastern European" msgstr "" -#: Merge.rc:2504 +#: Merge.rc:2510 #, c-format msgid "East Asian" msgstr "" -#: Merge.rc:2505 +#: Merge.rc:2511 #, c-format msgid "SE & SW Asian" msgstr "" -#: Merge.rc:2506 +#: Merge.rc:2512 #, c-format msgid "Middle Eastern" msgstr "" -#: Merge.rc:2507 -#: Merge.rc:2517 +#: Merge.rc:2513 +#: Merge.rc:2523 #, c-format msgid "Not applicable" msgstr "" -#: Merge.rc:2513 +#: Merge.rc:2519 #, c-format msgid "MS-Windows" msgstr "" -#: Merge.rc:2514 +#: Merge.rc:2520 #, c-format msgid "MS-DOS" msgstr "" -#: Merge.rc:2515 +#: Merge.rc:2521 #, c-format msgid "Macintosh" msgstr "" -#: Merge.rc:2516 +#: Merge.rc:2522 #, c-format msgid "IBM" msgstr "" -#: Merge.rc:2523 +#: Merge.rc:2529 #, c-format msgid "EBCDIC" msgstr "" -#: Merge.rc:2524 +#: Merge.rc:2530 #, c-format msgid "IBM EBCDIC Cyrillic" msgstr "" -#: Merge.rc:2530 +#: Merge.rc:2536 #, c-format msgid "MS-DOS Latin US" msgstr "" -#: Merge.rc:2531 +#: Merge.rc:2537 #, c-format msgid "MS-DOS Arabic" msgstr "" -#: Merge.rc:2532 +#: Merge.rc:2538 #, c-format msgid "MS-DOS Greek" msgstr "" -#: Merge.rc:2533 +#: Merge.rc:2539 #, c-format msgid "MS-DOS Baltic Rim" msgstr "" -#: Merge.rc:2534 +#: Merge.rc:2540 #, c-format msgid "MS-DOS Latin 1" msgstr "" -#: Merge.rc:2535 +#: Merge.rc:2541 #, c-format msgid "MS-DOS Greek 1" msgstr "" -#: Merge.rc:2536 +#: Merge.rc:2542 #, c-format msgid "MS-DOS Latin 2" msgstr "" -#: Merge.rc:2537 +#: Merge.rc:2543 #, c-format msgid "MS-DOS Cyrillic" msgstr "" -#: Merge.rc:2538 +#: Merge.rc:2544 #, c-format msgid "MS-DOS Turkish" msgstr "" -#: Merge.rc:2539 +#: Merge.rc:2545 #, c-format msgid "MS-DOS Portuguese" msgstr "" -#: Merge.rc:2545 +#: Merge.rc:2551 #, c-format msgid "MS-DOS Icelandic" msgstr "" -#: Merge.rc:2546 +#: Merge.rc:2552 #, c-format msgid "MS-DOS Hebrew" msgstr "" -#: Merge.rc:2547 +#: Merge.rc:2553 #, c-format msgid "MS-DOS French Canada" msgstr "" -#: Merge.rc:2548 +#: Merge.rc:2554 #, c-format msgid "MS-DOS Nordic" msgstr "" -#: Merge.rc:2549 +#: Merge.rc:2555 #, c-format msgid "MS-DOS Cyrillic CIS 1" msgstr "" -#: Merge.rc:2550 +#: Merge.rc:2556 #, c-format msgid "MS-DOS Greek 2" msgstr "" -#: Merge.rc:2556 +#: Merge.rc:2562 #, c-format msgid "Windows Thai" msgstr "" -#: Merge.rc:2557 +#: Merge.rc:2563 #, c-format msgid "Windows Japanese" msgstr "" -#: Merge.rc:2558 +#: Merge.rc:2564 #, c-format msgid "Windows Simplified Chinese" msgstr "" -#: Merge.rc:2559 +#: Merge.rc:2565 #, c-format msgid "Windows Korean" msgstr "" -#: Merge.rc:2560 +#: Merge.rc:2566 #, c-format msgid "Windows Traditional Chinese" msgstr "" -#: Merge.rc:2561 +#: Merge.rc:2567 #, c-format msgid "Windows Latin 2" msgstr "" -#: Merge.rc:2562 +#: Merge.rc:2568 #, c-format msgid "Windows Cyrillic (Slavic)" msgstr "" -#: Merge.rc:2563 +#: Merge.rc:2569 #, c-format msgid "Windows Latin 1" msgstr "" -#: Merge.rc:2564 +#: Merge.rc:2570 #, c-format msgid "Windows Greek" msgstr "" -#: Merge.rc:2565 +#: Merge.rc:2571 #, c-format msgid "Windows Latin 5 (Turkish)" msgstr "" -#: Merge.rc:2566 +#: Merge.rc:2572 #, c-format msgid "Windows Hebrew" msgstr "" -#: Merge.rc:2567 +#: Merge.rc:2573 #, c-format msgid "Windows Arabic" msgstr "" -#: Merge.rc:2568 +#: Merge.rc:2574 #, c-format msgid "Windows Baltic Rim" msgstr "" -#: Merge.rc:2569 +#: Merge.rc:2575 #, c-format msgid "Windows Vietnamese" msgstr "" -#: Merge.rc:2575 +#: Merge.rc:2581 #, c-format msgid "Macintosh Roman" msgstr "" -#: Merge.rc:2576 +#: Merge.rc:2582 #, c-format msgid "Macintosh Greek" msgstr "" -#: Merge.rc:2577 +#: Merge.rc:2583 #, c-format msgid "Macintosh Cyrillic" msgstr "" -#: Merge.rc:2578 +#: Merge.rc:2584 #, c-format msgid "Macintosh " msgstr "" -#: Merge.rc:2579 +#: Merge.rc:2585 #, c-format msgid "Macintosh Central Europe" msgstr "" -#: Merge.rc:2580 +#: Merge.rc:2586 #, c-format msgid "Macintosh Turkish" msgstr "" -#: Merge.rc:2589 +#: Merge.rc:2595 #, c-format msgid "Approximates ISO-8859-2" msgstr "" -#: Merge.rc:2592 +#: Merge.rc:2598 #, c-format msgid "Approximates ISO-8859-1" msgstr "" -#: Merge.rc:2593 +#: Merge.rc:2599 #, c-format msgid "Approximates ISO-8859-7" msgstr "" -#: Merge.rc:2594 +#: Merge.rc:2600 #, c-format msgid "Approximates ISO-8859-9" msgstr "" -#: Merge.rc:2595 +#: Merge.rc:2601 #, c-format msgid "Approximates ISO-8859-8" msgstr "" -#: Merge.rc:2601 +#: Merge.rc:2607 #, c-format msgid "Win" msgstr "" -#: Merge.rc:2602 +#: Merge.rc:2608 #, c-format msgid "Mac" msgstr "" -#: Merge.rc:2603 +#: Merge.rc:2609 #, c-format msgid "Unix" msgstr "" -#: Merge.rc:2604 +#: Merge.rc:2610 #, c-format msgid "Mixed" msgstr "" -#: Merge.rc:2606 +#: Merge.rc:2612 #, c-format msgid "LF" msgstr "" -#: Merge.rc:2607 +#: Merge.rc:2613 #, c-format msgid "CR" msgstr "" -#: Merge.rc:2608 +#: Merge.rc:2614 #, c-format msgid "CRLF" msgstr "" -#: Merge.rc:2615 +#: Merge.rc:2621 #, c-format msgid " B" msgstr "" -#: Merge.rc:2616 +#: Merge.rc:2622 #, c-format msgid " KB" msgstr "" -#: Merge.rc:2617 +#: Merge.rc:2623 #, c-format msgid " MB" msgstr "" -#: Merge.rc:2618 +#: Merge.rc:2624 #, c-format msgid " GB" msgstr "" -#: Merge.rc:2619 +#: Merge.rc:2625 #, c-format msgid " TB" msgstr "" -#: Merge.rc:2620 +#: Merge.rc:2626 #, c-format msgid " PB" msgstr "" -#: Merge.rc:2626 +#: Merge.rc:2632 #, c-format msgid "Type" msgstr "" -#: Merge.rc:2628 +#: Merge.rc:2634 #, c-format msgid "Unpacker" msgstr "" -#: Merge.rc:2629 +#: Merge.rc:2635 #, c-format msgid "Prediffer" msgstr "" -#: Merge.rc:2630 +#: Merge.rc:2636 #, c-format msgid "Editor script" msgstr "" -#: Merge.rc:2637 +#: Merge.rc:2643 #, c-format msgid "Save the left file" msgstr "" -#: Merge.rc:2638 +#: Merge.rc:2644 #, c-format msgid "Save the right file" msgstr "" -#: Merge.rc:2639 +#: Merge.rc:2645 #, c-format msgid "Save the left file with name..." msgstr "" -#: Merge.rc:2640 +#: Merge.rc:2646 #, c-format msgid "Save the right file with name..." msgstr "" -#: Merge.rc:2641 +#: Merge.rc:2647 #, c-format msgid "Change left side file/folder read-only status" msgstr "" -#: Merge.rc:2642 +#: Merge.rc:2648 #, c-format msgid "Change right side file/folder read-only status" msgstr "" -#: Merge.rc:2643 +#: Merge.rc:2649 #, c-format msgid "Convert EOL to Windows mode" msgstr "" -#: Merge.rc:2644 +#: Merge.rc:2650 #, c-format msgid "Convert EOL to Unix mode" msgstr "" -#: Merge.rc:2645 +#: Merge.rc:2651 #, c-format msgid "Convert EOL to Mac mode" msgstr "" -#: Merge.rc:2646 +#: Merge.rc:2652 #, c-format msgid "Switch between editing and merging modes" msgstr "" -#: Merge.rc:2647 +#: Merge.rc:2653 #, c-format msgid "View and adjust file encoding properties\nFile encoding properties" msgstr "" -#: Merge.rc:2648 +#: Merge.rc:2654 #, c-format msgid "Open and resolve conflict file" msgstr "" -#: Merge.rc:2654 +#: Merge.rc:2660 #, c-format msgid "Select difference area in the current line\nDifference in the Current Line" msgstr "" -#: Merge.rc:2655 +#: Merge.rc:2661 #, c-format msgid "Go to line or difference\nGo to (Ctrl+G)" msgstr "" -#: Merge.rc:2656 +#: Merge.rc:2662 #, c-format msgid "Set program options\nOptions" msgstr "" -#: Merge.rc:2657 +#: Merge.rc:2663 #, c-format msgid "Refresh display\nRefresh (F5)" msgstr "" -#: Merge.rc:2658 +#: Merge.rc:2664 #, c-format msgid "Copy selected lines to clipboard adding linenumbers to line begin" msgstr "" -#: Merge.rc:2659 +#: Merge.rc:2665 #, c-format msgid "Refresh selected items" msgstr "" -#: Merge.rc:2660 +#: Merge.rc:2666 #, c-format msgid "Toggle bookmark" msgstr "" -#: Merge.rc:2661 +#: Merge.rc:2667 #, c-format msgid "Go to next bookmark" msgstr "" -#: Merge.rc:2662 +#: Merge.rc:2668 #, c-format msgid "Go to previous bookmark" msgstr "" -#: Merge.rc:2663 +#: Merge.rc:2669 #, c-format msgid "Clear all bookmarks" msgstr "" -#: Merge.rc:2669 +#: Merge.rc:2675 #, c-format msgid "Displays items that are exactly the same\nShow Identical Items" msgstr "" -#: Merge.rc:2670 +#: Merge.rc:2676 #, c-format msgid "Displays items that have differences\nShow Different Items" msgstr "" -#: Merge.rc:2671 +#: Merge.rc:2677 #, c-format msgid "Displays items that exist in only on the left side\nShow Left Unique Items" msgstr "" -#: Merge.rc:2672 +#: Merge.rc:2678 #, c-format msgid "Displays items that exist in only on the right side\nShow Right Unique Items" msgstr "" -#: Merge.rc:2673 +#: Merge.rc:2679 #, c-format msgid "Displays binary files\nShow Binary Files" msgstr "" -#: Merge.rc:2674 +#: Merge.rc:2680 #, c-format msgid "Displays skipped items\nShow Skipped Items" msgstr "" -#: Merge.rc:2680 +#: Merge.rc:2686 #, c-format msgid "Select the font for the view\nSelect View Font" msgstr "" -#: Merge.rc:2681 +#: Merge.rc:2687 #, c-format msgid "Revert to using the default system font for view\nDefault Font" msgstr "" -#: Merge.rc:2682 +#: Merge.rc:2688 #, c-format msgid "Shows whitespace characters" msgstr "" -#: Merge.rc:2683 +#: Merge.rc:2689 #, c-format msgid "Toggle Diff Pane" msgstr "" -#: Merge.rc:2684 +#: Merge.rc:2690 #, c-format msgid "Location pane shows overall picture from files" msgstr "" -#: Merge.rc:2685 +#: Merge.rc:2691 #, c-format msgid "Show folder compare statistics dialog" msgstr "" -#: Merge.rc:2686 +#: Merge.rc:2692 #, c-format msgid "Select the current user interface language\nLanguage" msgstr "" -#: Merge.rc:2687 +#: Merge.rc:2693 #, c-format msgid "Shows differences inside lines with different colors\nShow Line Difference" msgstr "" -#: Merge.rc:2688 +#: Merge.rc:2694 #, c-format msgid "Swap the positions of the two panes" msgstr "" -#: Merge.rc:2689 +#: Merge.rc:2695 #, c-format msgid "Shows line numbers\nShow Line Numbers" msgstr "" -#: Merge.rc:2690 +#: Merge.rc:2696 #, c-format msgid "Enable word wrap\nWrap Lines" msgstr "" -#: Merge.rc:2691 +#: Merge.rc:2697 #, c-format msgid "Shows selection margins for both panes\nShow Selection Margins" msgstr "" -#: Merge.rc:2692 +#: Merge.rc:2698 #, c-format msgid "Increase text size" msgstr "" -#: Merge.rc:2693 +#: Merge.rc:2699 #, c-format msgid "Decrease text size" msgstr "" -#: Merge.rc:2694 +#: Merge.rc:2700 #, c-format msgid "Reset text zoom to default size" msgstr "" -#: Merge.rc:2700 +#: Merge.rc:2706 #, c-format msgid "Scroll to the previous difference\nPrevious Difference (Alt+Up)" msgstr "" -#: Merge.rc:2701 +#: Merge.rc:2707 #, c-format msgid "Scroll to the next difference\nNext Difference (Alt+Down)" msgstr "" -#: Merge.rc:2702 +#: Merge.rc:2708 #, c-format msgid "Scroll to the first difference\nFirst Difference (Alt+Home)" msgstr "" -#: Merge.rc:2703 +#: Merge.rc:2709 #, c-format msgid "Scroll to the current difference\nCurrent Difference (Alt+Enter)" msgstr "" -#: Merge.rc:2704 +#: Merge.rc:2710 #, c-format msgid "Scroll to the last difference\nLast Difference (Alt+End)" msgstr "" -#: Merge.rc:2705 +#: Merge.rc:2711 #, c-format msgid "Copy the selected text to the right file\nCopy Right (Alt+Right)" msgstr "" -#: Merge.rc:2706 +#: Merge.rc:2712 #, c-format msgid "Copy the selected text to the left file\nCopy Left (Alt+Left)" msgstr "" -#: Merge.rc:2707 +#: Merge.rc:2713 #, c-format msgid "Copy difference to right and advance to next\nCopy Right and Advance (Ctrl+Alt+Right)" msgstr "" -#: Merge.rc:2708 +#: Merge.rc:2714 #, c-format msgid "Copy difference to left and advance to next\nCopy Left and Advance (Ctrl+Alt+Left)" msgstr "" -#: Merge.rc:2709 +#: Merge.rc:2715 #, c-format msgid "Copy all differences to the right file\nAll Right" msgstr "" -#: Merge.rc:2710 +#: Merge.rc:2716 #, c-format msgid "Copy all differences to the left file\nAll Left" msgstr "" -#: Merge.rc:2711 +#: Merge.rc:2717 #, c-format msgid "Delete selected items from both directories" msgstr "" -#: Merge.rc:2712 +#: Merge.rc:2718 #, c-format msgid "Compare selected item (compare first item if multiple items selected)" msgstr "" -#: Merge.rc:2718 +#: Merge.rc:2724 #, c-format msgid "Select and reorder directory compare columns" msgstr "" -#: Merge.rc:2719 +#: Merge.rc:2725 #, c-format msgid "Generate a patch-file" msgstr "" -#: Merge.rc:2720 +#: Merge.rc:2726 #, c-format msgid "Select and modify filters" msgstr "" -#: Merge.rc:2721 +#: Merge.rc:2727 #, c-format msgid "Generates a report from compare results" msgstr "" -#: Merge.rc:2727 +#: Merge.rc:2733 #, c-format msgid "Shows a list of available plugins" msgstr "" -#: Merge.rc:2728 +#: Merge.rc:2734 #, c-format msgid "The user selects the unpacker (no unpacking when comparing dir)" msgstr "" -#: Merge.rc:2729 +#: Merge.rc:2735 #, c-format msgid "The adapted unpacker is applied to both files (one file only needs the extension)" msgstr "" -#: Merge.rc:2730 +#: Merge.rc:2736 #, c-format msgid "Select an unpacker to edit this file" msgstr "" -#: Merge.rc:2731 +#: Merge.rc:2737 #, c-format msgid "No prediffer (normal)" msgstr "" -#: Merge.rc:2732 +#: Merge.rc:2738 #, c-format msgid "Suggested plugins" msgstr "" -#: Merge.rc:2733 +#: Merge.rc:2739 #, c-format msgid "Other plugins" msgstr "" -#: Merge.rc:2734 +#: Merge.rc:2740 #, c-format msgid "Unload all plugins and reload them (for developers)" msgstr "" -#: Merge.rc:2740 +#: Merge.rc:2746 #, c-format msgid "Activates next visible file\nActivate next File" msgstr "" -#: Merge.rc:2741 +#: Merge.rc:2747 #, c-format msgid "Closes all open windows" msgstr "" -#: Merge.rc:2747 +#: Merge.rc:2753 #, c-format msgid "Opens the WinMerge Help\nWinMerge Help" msgstr "" -#: Merge.rc:2748 +#: Merge.rc:2754 #, c-format msgid "Outputs current WinMerge version info and configuration to text file" msgstr "" -#: Merge.rc:2749 +#: Merge.rc:2755 #, c-format msgid "Display the GNU General Public License" msgstr "" -#: Merge.rc:2750 +#: Merge.rc:2756 #, c-format msgid "Private Build: %1" msgstr "" -#: Merge.rc:2751 +#: Merge.rc:2757 #, c-format msgid "Opens the release notes document\nRelease Notes" msgstr "" -#: Merge.rc:2752 +#: Merge.rc:2758 #, c-format msgid "Opens the translations website\nTranslations" msgstr "" -#: Merge.rc:2758 +#: Merge.rc:2764 #, c-format msgid "Plugin Settings" msgstr "" -#: Merge.rc:2759 +#: Merge.rc:2765 #, c-format msgid "No prediffer" msgstr "" -#: Merge.rc:2760 +#: Merge.rc:2766 #, c-format msgid "Prediff if possible" msgstr "" -#: Merge.rc:2762 +#: Merge.rc:2768 #, c-format msgid "WSH not found - .sct scripts disabled" msgstr "" -#: Merge.rc:2763 +#: Merge.rc:2769 #, c-format msgid "<None>" msgstr "" -#: Merge.rc:2764 +#: Merge.rc:2770 #, c-format msgid "<Automatic>" msgstr "" -#: Merge.rc:2770 +#: Merge.rc:2776 #, c-format msgid "Open the file with registered application" msgstr "" -#: Merge.rc:2771 +#: Merge.rc:2777 #, c-format msgid "Open the file with external editor" msgstr "" -#: Merge.rc:2772 +#: Merge.rc:2778 #, c-format msgid "Open the file with a particular program" msgstr "" -#: Merge.rc:2778 +#: Merge.rc:2784 #, c-format msgid "Do not display moved blocks" msgstr "" -#: Merge.rc:2779 +#: Merge.rc:2785 #, c-format msgid "Display all moved blocks" msgstr "" -#: Merge.rc:2780 +#: Merge.rc:2786 #, c-format msgid "Display moved blocks only from current diff" msgstr "" -#: Merge.rc:2781 +#: Merge.rc:2787 #, c-format msgid "Go to diff under cursor" msgstr "" -#: Merge.rc:2782 +#: Merge.rc:2788 #, c-format msgid "G&oto Diff %1 of %2" msgstr "" -#: Merge.rc:2783 +#: Merge.rc:2789 #, c-format msgid "G&oto Line %1" msgstr "" -#: Merge.rc:2789 +#: Merge.rc:2795 #, c-format msgid "Disabled" msgstr "" -#: Merge.rc:2790 +#: Merge.rc:2796 #, c-format msgid "From file system" msgstr "" -#: Merge.rc:2791 +#: Merge.rc:2797 #, c-format msgid "From MRU list" msgstr "" -#: Merge.rc:2797 +#: Merge.rc:2803 #, c-format msgid "No Highlighting" msgstr "" -#: Merge.rc:2798 +#: Merge.rc:2804 #, c-format msgid "ASP" msgstr "" -#: Merge.rc:2799 +#: Merge.rc:2805 #, c-format msgid "Basic" msgstr "" -#: Merge.rc:2800 +#: Merge.rc:2806 #, c-format msgid "Batch" msgstr "" -#: Merge.rc:2801 +#: Merge.rc:2807 #, c-format msgid "C/C++" msgstr "" -#: Merge.rc:2802 +#: Merge.rc:2808 #, c-format msgid "C#" msgstr "" -#: Merge.rc:2803 +#: Merge.rc:2809 #, c-format msgid "CSS" msgstr "" -#: Merge.rc:2804 +#: Merge.rc:2810 #, c-format msgid "DCL" msgstr "" -#: Merge.rc:2805 +#: Merge.rc:2811 #, c-format msgid "Fortran" msgstr "" -#: Merge.rc:2806 +#: Merge.rc:2812 #, c-format msgid "HTML" msgstr "" -#: Merge.rc:2807 +#: Merge.rc:2813 #, c-format msgid "INI" msgstr "" -#: Merge.rc:2808 +#: Merge.rc:2814 #, c-format msgid "InnoSetup" msgstr "" -#: Merge.rc:2809 +#: Merge.rc:2815 #, c-format msgid "InstallShield" msgstr "" -#: Merge.rc:2810 +#: Merge.rc:2816 #, c-format msgid "Java" msgstr "" -#: Merge.rc:2811 +#: Merge.rc:2817 #, c-format msgid "AutoLISP" msgstr "" -#: Merge.rc:2812 +#: Merge.rc:2818 #, c-format msgid "NSIS" msgstr "" -#: Merge.rc:2813 +#: Merge.rc:2819 #, c-format msgid "Pascal" msgstr "" -#: Merge.rc:2814 +#: Merge.rc:2820 #, c-format msgid "Perl" msgstr "" -#: Merge.rc:2815 +#: Merge.rc:2821 #, c-format msgid "PHP" msgstr "" -#: Merge.rc:2816 +#: Merge.rc:2822 #, c-format msgid "Portable Object" msgstr "" -#: Merge.rc:2817 +#: Merge.rc:2823 #, c-format msgid "PowerShell" msgstr "" -#: Merge.rc:2818 +#: Merge.rc:2824 #, c-format msgid "Python" msgstr "" -#: Merge.rc:2819 +#: Merge.rc:2825 #, c-format msgid "REXX" msgstr "" -#: Merge.rc:2820 +#: Merge.rc:2826 #, c-format msgid "Resources" msgstr "" -#: Merge.rc:2821 +#: Merge.rc:2827 #, c-format msgid "Ruby" msgstr "" -#: Merge.rc:2822 +#: Merge.rc:2828 #, c-format msgid "SGML" msgstr "" -#: Merge.rc:2823 +#: Merge.rc:2829 #, c-format msgid "Shell" msgstr "" -#: Merge.rc:2824 +#: Merge.rc:2830 #, c-format msgid "SIOD" msgstr "" -#: Merge.rc:2825 +#: Merge.rc:2831 #, c-format msgid "SQL" msgstr "" -#: Merge.rc:2826 +#: Merge.rc:2832 #, c-format msgid "TCL" msgstr "" -#: Merge.rc:2827 +#: Merge.rc:2833 #, c-format msgid "TEX" msgstr "" -#: Merge.rc:2828 +#: Merge.rc:2834 #, c-format msgid "XML" msgstr "" -#: Merge.rc:2833 +#: Merge.rc:2839 #, c-format msgid "Hide the toolbar" msgstr "" -#: Merge.rc:2834 +#: Merge.rc:2840 #, c-format msgid "Show small toolbar" msgstr "" -#: Merge.rc:2835 +#: Merge.rc:2841 #, c-format msgid "Show big toolbar" msgstr "" Modified: trunk/Src/Merge.rc =================================================================== --- trunk/Src/Merge.rc 2008-10-20 12:04:35 UTC (rev 6020) +++ trunk/Src/Merge.rc 2008-10-20 18:07:27 UTC (rev 6021) @@ -2318,8 +2318,14 @@ IDS_RIGHTONLY "Right Only" IDS_DIFFERENT "Different" IDS_CMPRES_ERROR "Error" + IDS_TEXT_FILES_SAME "Text files are identical" END +STRINGTABLE +BEGIN + IDS_TEXT_FILES_DIFF "Text files are different" +END + // DIRECTORY DIFFING : SUMMARY BAR STRINGTABLE BEGIN Modified: trunk/Src/Merge2.rc =================================================================== --- trunk/Src/Merge2.rc 2008-10-20 12:04:35 UTC (rev 6020) +++ trunk/Src/Merge2.rc 2008-10-20 18:07:27 UTC (rev 6021) @@ -45,6 +45,8 @@ IDI_COMPARE_ABORTED ICON "res\\aborted.ico" IDI_FILEFILTER ICON "res\\FileFilter.ico" IDI_LINEFILTER ICON "res\\LineFilter.ico" +IDI_EQUALTEXTFILE ICON "res\\equaltextfile.ico" +IDI_NOTEQUALTEXTFILE ICON "res\\notequaltextfile.ico" ///////////////////////////////////////////////////////////////////////////// Modified: trunk/Src/MergeDoc.cpp =================================================================== --- trunk/Src/MergeDoc.cpp 2008-10-20 12:04:35 UTC (rev 6020) +++ trunk/Src/MergeDoc.cpp 2008-10-20 18:07:27 UTC (rev 6021) @@ -2135,9 +2135,12 @@ DWORD nRightSuccess = LoadOneFile(1, sRightFile.c_str(), bRORight, filelocRight.encoding); + const BOOL bFiltersEnabled = GetOptionsMgr()->GetBool(OPT_PLUGINS_ENABLED); + // scratchpad : we don't call LoadFile, so // we need to initialize the unpacker as a "do nothing" one - if (m_nBufferType[0] == BUFFER_UNNAMED && m_nBufferType[1] == BUFFER_UNNAMED) + if (bFiltersEnabled && m_nBufferType[0] == BUFFER_UNNAMED && + m_nBufferType[1] == BUFFER_UNNAMED) m_pInfoUnpacker->Initialize(PLUGIN_MANUAL); // Bail out if either side failed @@ -2212,9 +2215,12 @@ // Define the prediffer PackingInfo * infoUnpacker = 0; PrediffingInfo * infoPrediffer = 0; - m_pDirDoc->FetchPluginInfos(m_strBothFilenames.c_str(), &infoUnpacker, &infoPrediffer); - m_diffWrapper.SetPrediffer(infoPrediffer); - m_diffWrapper.SetTextForAutomaticPrediff(m_strBothFilenames); + if (bFiltersEnabled) + { + m_pDirDoc->FetchPluginInfos(m_strBothFilenames.c_str(), &infoUnpacker, &infoPrediffer); + m_diffWrapper.SetPrediffer(infoPrediffer); + m_diffWrapper.SetTextForAutomaticPrediff(m_strBothFilenames); + } BOOL bBinary = FALSE; nRescanResult = Rescan(bBinary, bIdentical); @@ -2234,7 +2240,7 @@ // Note: If option enabled, and another side type is not recognized, // we use recognized type for unrecognized side too. String sextL, sextR; - if (m_pInfoUnpacker->textType.length()) + if (bFiltersEnabled && m_pInfoUnpacker->textType.length()) { sextL = sextR = m_pInfoUnpacker->textType; } Modified: trunk/Src/res/equalfile.ico =================================================================== (Binary files differ) Added: trunk/Src/res/equaltextfile.ico =================================================================== (Binary files differ) Property changes on: trunk/Src/res/equaltextfile.ico ___________________________________________________________________ Added: svn:mime-type + application/octet-stream Added: trunk/Src/res/notequaltextfile.ico =================================================================== (Binary files differ) Property changes on: trunk/Src/res/notequaltextfile.ico ___________________________________________________________________ Added: svn:mime-type + application/octet-stream Modified: trunk/Src/resource.h =================================================================== --- trunk/Src/resource.h 2008-10-20 12:04:35 UTC (rev 6020) +++ trunk/Src/resource.h 2008-10-20 18:07:27 UTC (rev 6021) @@ -124,6 +124,8 @@ #define IDI_LINEFILTER 517 #define IDI_EQUALFOLDER 518 #define IDI_NOTEQUALFOLDER 519 +#define IDI_EQUALTEXTFILE 520 +#define IDI_NOTEQUALTEXTFILE 521 #define IDC_LEFT_EDIT 1000 #define IDC_FILE_EDIT 1000 #define IDC_LEFT_BUTTON 1001 @@ -682,9 +684,11 @@ #define IDS_ABORTED_ITEM 17740 #define IDS_CMPRES_ERROR 17741 #define IDS_FOLDERS_ARE_DIFFERENT 17742 -#define IDS_ELAPSED_TIME 17744 -#define IDS_STATUS_SELITEM1 17745 -#define IDS_STATUS_SELITEMS 17746 +#define IDS_TEXT_FILES_SAME 17743 +#define IDS_TEXT_FILES_DIFF 17744 +#define IDS_ELAPSED_TIME 17750 +#define IDS_STATUS_SELITEM1 17751 +#define IDS_STATUS_SELITEMS 17752 #define IDS_COLDESC_FILENAME 17760 #define IDS_COLDESC_DIR 17761 #define IDS_COLDESC_RESULT 17762 This was sent by the SourceForge.net collaborative development platform, the world's largest Open Source development site. |
From: <ki...@us...> - 2008-10-21 15:23:36
|
Revision: 6023 http://winmerge.svn.sourceforge.net/winmerge/?rev=6023&view=rev Author: kimmov Date: 2008-10-21 15:22:51 +0000 (Tue, 21 Oct 2008) Log Message: ----------- BUG: [ 2129634 ] Sub-folder name too-long causes misprint Modified Paths: -------------- trunk/Docs/Users/ChangeLog.txt trunk/Src/DirViewColItems.cpp Modified: trunk/Docs/Users/ChangeLog.txt =================================================================== --- trunk/Docs/Users/ChangeLog.txt 2008-10-21 07:48:02 UTC (rev 6022) +++ trunk/Docs/Users/ChangeLog.txt 2008-10-21 15:22:51 UTC (rev 6023) @@ -11,6 +11,7 @@ BugFix: Wrong cursor position when disabling selection margin (#2138555) BugFix: Showed a black line when disabling selection margin (#2068790) BugFix: Fix manual URL in Readme.txt (#2181628) + BugFix: Long unique paths were mixed up in folder compare view (#2129634) WinMerge 2.11.1.5 2008-10-02 (r5995) Use external editor to edit file filters (#2082774) Modified: trunk/Src/DirViewColItems.cpp =================================================================== --- trunk/Src/DirViewColItems.cpp 2008-10-21 07:48:02 UTC (rev 6022) +++ trunk/Src/DirViewColItems.cpp 2008-10-21 15:22:51 UTC (rev 6023) @@ -209,6 +209,16 @@ const DIFFITEM &di = *static_cast<const DIFFITEM*>(p); String s = di.right.path; const String &t = di.left.path; + + // If we have unique path, just print the existing path name + if (s.length() == 0 || t.length() == 0) + { + if (s.length() == 0) + return t; + else + return s; + } + int i = 0, j = 0; do { This was sent by the SourceForge.net collaborative development platform, the world's largest Open Source development site. |
From: <ki...@us...> - 2008-10-21 16:55:20
|
Revision: 6025 http://winmerge.svn.sourceforge.net/winmerge/?rev=6025&view=rev Author: kimmov Date: 2008-10-21 16:55:05 +0000 (Tue, 21 Oct 2008) Log Message: ----------- BUG: [ 2101780 ] Different carriage return types: Auto-Update is needed Modified Paths: -------------- trunk/Docs/Users/ChangeLog.txt trunk/Src/LocationView.cpp trunk/Src/LocationView.h trunk/Src/MergeEditView.cpp Modified: trunk/Docs/Users/ChangeLog.txt =================================================================== --- trunk/Docs/Users/ChangeLog.txt 2008-10-21 16:35:58 UTC (rev 6024) +++ trunk/Docs/Users/ChangeLog.txt 2008-10-21 16:55:05 UTC (rev 6025) @@ -12,7 +12,9 @@ BugFix: Showed a black line when disabling selection margin (#2068790) BugFix: Fix manual URL in Readme.txt (#2181628) BugFix: Long unique paths were mixed up in folder compare view (#2129634) - Bugfix: Some calls made to plugins when plugins were disabled (#2182788) + BugFix: Some calls made to plugins when plugins were disabled (#2182788) + BugFix: If messagebox was shown during file loading location pane + didn't show any differences (#2101780) WinMerge 2.11.1.5 2008-10-02 (r5995) Use external editor to edit file filters (#2082774) Modified: trunk/Src/LocationView.cpp =================================================================== --- trunk/Src/LocationView.cpp 2008-10-21 16:35:58 UTC (rev 6024) +++ trunk/Src/LocationView.cpp 2008-10-21 16:55:05 UTC (rev 6025) @@ -147,6 +147,18 @@ ///////////////////////////////////////////////////////////////////////////// // CLocationView message handlers +/** + * @brief Force recalculation and update of location pane. + * This method forces location pane to first recalculate its data and + * then repaint itself. This method bypasses location pane's caching + * of the diff data. + */ +void CLocationView::ForceRecalculate() +{ + m_bRecalculateBlocks = TRUE; + Invalidate(); +} + /** * @brief Update view. */ Modified: trunk/Src/LocationView.h =================================================================== --- trunk/Src/LocationView.h 2008-10-21 16:35:58 UTC (rev 6024) +++ trunk/Src/LocationView.h 2008-10-21 16:55:05 UTC (rev 6025) @@ -70,6 +70,7 @@ void SetConnectMovedBlocks(int displayMovedBlocks); void UpdateVisiblePos(int nTopLine = -1, int nBottomLine = -1); void SetFrameHwnd(HWND hwndFrame); + void ForceRecalculate(); protected: Modified: trunk/Src/MergeEditView.cpp =================================================================== --- trunk/Src/MergeEditView.cpp 2008-10-21 16:35:58 UTC (rev 6024) +++ trunk/Src/MergeEditView.cpp 2008-10-21 16:55:05 UTC (rev 6025) @@ -2762,12 +2762,13 @@ } /** - * @brief Force repaint of location pane + * @brief Force repaint of the location pane. */ void CMergeEditView::RepaintLocationPane() { + // Must force recalculation due to caching of data in location pane. if (m_pLocationView) - m_pLocationView->Invalidate(); + m_pLocationView->ForceRecalculate(); } /** This was sent by the SourceForge.net collaborative development platform, the world's largest Open Source development site. |
From: <ge...@us...> - 2008-10-22 18:33:22
|
Revision: 6030 http://winmerge.svn.sourceforge.net/winmerge/?rev=6030&view=rev Author: gerundt Date: 2008-10-22 18:33:13 +0000 (Wed, 22 Oct 2008) Log Message: ----------- PATCH: [ 2185302 ] Icon suggestions for patch #2175736 Modified Paths: -------------- trunk/Docs/Users/ChangeLog.txt trunk/Src/res/equalfile.ico trunk/Src/res/fileskip.ico trunk/Src/res/folderskip.ico trunk/Src/res/notequalfile.ico trunk/Src/res/notequaltextfile.ico Modified: trunk/Docs/Users/ChangeLog.txt =================================================================== --- trunk/Docs/Users/ChangeLog.txt 2008-10-22 17:50:23 UTC (rev 6029) +++ trunk/Docs/Users/ChangeLog.txt 2008-10-22 18:33:13 UTC (rev 6030) @@ -5,6 +5,7 @@ WinMerge 2.11.1.6 General compare status for files (not known if text/binary) (#2175736) + Change icons for the new general compare status (#2185302) Use 24bit icons for "Bookmarks" and "Delete" menu items (#2141184) Installer: create backup of ClearCase config file (#2129095) BugFix: Translatable strings having space before colon (#1971868) Modified: trunk/Src/res/equalfile.ico =================================================================== (Binary files differ) Modified: trunk/Src/res/fileskip.ico =================================================================== (Binary files differ) Modified: trunk/Src/res/folderskip.ico =================================================================== (Binary files differ) Modified: trunk/Src/res/notequalfile.ico =================================================================== (Binary files differ) Modified: trunk/Src/res/notequaltextfile.ico =================================================================== (Binary files differ) This was sent by the SourceForge.net collaborative development platform, the world's largest Open Source development site. |
From: <ki...@us...> - 2008-10-30 15:42:01
|
Revision: 6053 http://winmerge.svn.sourceforge.net/winmerge/?rev=6053&view=rev Author: kimmov Date: 2008-10-30 15:41:47 +0000 (Thu, 30 Oct 2008) Log Message: ----------- PATCH: [ 2209911 ] Update PCRE to version 7.8 Update to latest PCRE version available from http://www.pcre.org/ Modified Paths: -------------- trunk/Docs/Users/ChangeLog.txt trunk/Externals/pcre/CMakeLists.txt trunk/Externals/pcre/ChangeLog trunk/Externals/pcre/Makefile.am trunk/Externals/pcre/Makefile.in trunk/Externals/pcre/NEWS trunk/Externals/pcre/NON-UNIX-USE trunk/Externals/pcre/README trunk/Externals/pcre/RunTest trunk/Externals/pcre/Win32/pcre.vcproj trunk/Externals/pcre/config.guess trunk/Externals/pcre/config.h.generic trunk/Externals/pcre/config.sub trunk/Externals/pcre/configure trunk/Externals/pcre/configure.ac trunk/Externals/pcre/doc/html/pcreapi.html trunk/Externals/pcre/doc/html/pcrestack.html trunk/Externals/pcre/doc/pcre.txt trunk/Externals/pcre/doc/pcreapi.3 trunk/Externals/pcre/doc/pcrestack.3 trunk/Externals/pcre/makevp.bat trunk/Externals/pcre/makevp_c.txt trunk/Externals/pcre/makevp_l.txt trunk/Externals/pcre/pcre_compile.c trunk/Externals/pcre/pcre_config.c trunk/Externals/pcre/pcre_dfa_exec.c trunk/Externals/pcre/pcre_exec.c trunk/Externals/pcre/pcre_fullinfo.c trunk/Externals/pcre/pcre_get.c trunk/Externals/pcre/pcre_info.c trunk/Externals/pcre/pcre_internal.h trunk/Externals/pcre/pcre_ord2utf8.c trunk/Externals/pcre/pcre_refcount.c trunk/Externals/pcre/pcre_study.c trunk/Externals/pcre/pcre_tables.c trunk/Externals/pcre/pcre_valid_utf8.c trunk/Externals/pcre/pcre_version.c trunk/Externals/pcre/pcre_xclass.c trunk/Externals/pcre/pcrecpp.cc trunk/Externals/pcre/pcrecpp_internal.h trunk/Externals/pcre/pcregexp.pas trunk/Externals/pcre/pcregrep.c trunk/Externals/pcre/pcreposix.c trunk/Externals/pcre/pcretest.c trunk/Externals/pcre/testdata/testinput1 trunk/Externals/pcre/testdata/testinput2 trunk/Externals/pcre/testdata/testinput4 trunk/Externals/pcre/testdata/testinput5 trunk/Externals/pcre/testdata/testinput6 trunk/Externals/pcre/testdata/testinput7 trunk/Externals/pcre/testdata/testinput8 trunk/Externals/pcre/testdata/testinput9 trunk/Externals/pcre/testdata/testoutput1 trunk/Externals/pcre/testdata/testoutput2 trunk/Externals/pcre/testdata/testoutput4 trunk/Externals/pcre/testdata/testoutput5 trunk/Externals/pcre/testdata/testoutput6 trunk/Externals/pcre/testdata/testoutput7 trunk/Externals/pcre/testdata/testoutput8 trunk/Externals/pcre/testdata/testoutput9 trunk/Externals/pcre/ucp.h trunk/Externals/versions.txt Added Paths: ----------- trunk/Externals/pcre/pcre_ucd.c Removed Paths: ------------- trunk/Externals/pcre/pcre_ucp_searchfuncs.c trunk/Externals/pcre/ucpinternal.h trunk/Externals/pcre/ucptable.h Modified: trunk/Docs/Users/ChangeLog.txt =================================================================== --- trunk/Docs/Users/ChangeLog.txt 2008-10-29 22:58:05 UTC (rev 6052) +++ trunk/Docs/Users/ChangeLog.txt 2008-10-30 15:41:47 UTC (rev 6053) @@ -4,6 +4,7 @@ http://winmerge.org/tracker/[tracker-id] WinMerge 2.11.1.7 + Update PCRE to version 7.8 (#2209911) Translation updates: - Czech (r6034) Modified: trunk/Externals/pcre/CMakeLists.txt =================================================================== --- trunk/Externals/pcre/CMakeLists.txt 2008-10-29 22:58:05 UTC (rev 6052) +++ trunk/Externals/pcre/CMakeLists.txt 2008-10-30 15:41:47 UTC (rev 6053) @@ -26,6 +26,7 @@ # built by default with Configure and Make. # 2008-01-23 PH removed the automatic build of pcredemo. # 2008-04-22 PH modified READLINE support so it finds NCURSES when needed. +# 2008-07-03 PH updated for revised UCP property support (change of files) PROJECT(PCRE C CXX) @@ -294,7 +295,7 @@ pcre_study.c pcre_tables.c pcre_try_flipped.c - pcre_ucp_searchfuncs.c + pcre_ucd.c pcre_valid_utf8.c pcre_version.c pcre_xclass.c Modified: trunk/Externals/pcre/ChangeLog =================================================================== --- trunk/Externals/pcre/ChangeLog 2008-10-29 22:58:05 UTC (rev 6052) +++ trunk/Externals/pcre/ChangeLog 2008-10-30 15:41:47 UTC (rev 6053) @@ -1,6 +1,84 @@ ChangeLog for PCRE ------------------ +Version 7.8 05-Sep-08 +--------------------- + +1. Replaced UCP searching code with optimized version as implemented for Ad + Muncher (http://www.admuncher.com/) by Peter Kankowski. This uses a two- + stage table and inline lookup instead of a function, giving speed ups of 2 + to 5 times on some simple patterns that I tested. Permission was given to + distribute the MultiStage2.py script that generates the tables (it's not in + the tarball, but is in the Subversion repository). + +2. Updated the Unicode datatables to Unicode 5.1.0. This adds yet more + scripts. + +3. Change 12 for 7.7 introduced a bug in pcre_study() when a pattern contained + a group with a zero qualifier. The result of the study could be incorrect, + or the function might crash, depending on the pattern. + +4. Caseless matching was not working for non-ASCII characters in back + references. For example, /(\x{de})\1/8i was not matching \x{de}\x{fe}. + It now works when Unicode Property Support is available. + +5. In pcretest, an escape such as \x{de} in the data was always generating + a UTF-8 string, even in non-UTF-8 mode. Now it generates a single byte in + non-UTF-8 mode. If the value is greater than 255, it gives a warning about + truncation. + +6. Minor bugfix in pcrecpp.cc (change "" == ... to NULL == ...). + +7. Added two (int) casts to pcregrep when printing the difference of two + pointers, in case they are 64-bit values. + +8. Added comments about Mac OS X stack usage to the pcrestack man page and to + test 2 if it fails. + +9. Added PCRE_CALL_CONVENTION just before the names of all exported functions, + and a #define of that name to empty if it is not externally set. This is to + allow users of MSVC to set it if necessary. + +10. The PCRE_EXP_DEFN macro which precedes exported functions was missing from + the convenience functions in the pcre_get.c source file. + +11. An option change at the start of a pattern that had top-level alternatives + could cause overwriting and/or a crash. This command provoked a crash in + some environments: + + printf "/(?i)[\xc3\xa9\xc3\xbd]|[\xc3\xa9\xc3\xbdA]/8\n" | pcretest + + This potential security problem was recorded as CVE-2008-2371. + +12. For a pattern where the match had to start at the beginning or immediately + after a newline (e.g /.*anything/ without the DOTALL flag), pcre_exec() and + pcre_dfa_exec() could read past the end of the passed subject if there was + no match. To help with detecting such bugs (e.g. with valgrind), I modified + pcretest so that it places the subject at the end of its malloc-ed buffer. + +13. The change to pcretest in 12 above threw up a couple more cases when pcre_ + exec() might read past the end of the data buffer in UTF-8 mode. + +14. A similar bug to 7.3/2 existed when the PCRE_FIRSTLINE option was set and + the data contained the byte 0x85 as part of a UTF-8 character within its + first line. This applied both to normal and DFA matching. + +15. Lazy qualifiers were not working in some cases in UTF-8 mode. For example, + /^[^d]*?$/8 failed to match "abc". + +16. Added a missing copyright notice to pcrecpp_internal.h. + +17. Make it more clear in the documentation that values returned from + pcre_exec() in ovector are byte offsets, not character counts. + +18. Tidied a few places to stop certain compilers from issuing warnings. + +19. Updated the Virtual Pascal + BCC files to compile the latest v7.7, as + supplied by Stefan Weber. I made a further small update for 7.8 because + there is a change of source arrangements: the pcre_searchfuncs.c module is + replaced by pcre_ucd.c. + + Version 7.7 07-May-08 --------------------- Modified: trunk/Externals/pcre/Makefile.am =================================================================== --- trunk/Externals/pcre/Makefile.am 2008-10-29 22:58:05 UTC (rev 6052) +++ trunk/Externals/pcre/Makefile.am 2008-10-30 15:41:47 UTC (rev 6053) @@ -178,13 +178,11 @@ pcre_study.c \ pcre_tables.c \ pcre_try_flipped.c \ - pcre_ucp_searchfuncs.c \ + pcre_ucd.c \ pcre_valid_utf8.c \ pcre_version.c \ pcre_xclass.c \ - ucp.h \ - ucpinternal.h \ - ucptable.h + ucp.h ## This file is generated as part of the building process, so don't distribute. nodist_libpcre_la_SOURCES = \ @@ -316,7 +314,7 @@ pcre_globals.o pcre_info.o pcre_maketables.o \ pcre_newline.o pcre_ord2utf8.o pcre_refcount.o \ pcre_study.o pcre_tables.o pcre_try_flipped.o \ - pcre_ucp_searchfuncs.o pcre_valid_utf8.o pcre_version.o \ + pcre_ucd.o pcre_valid_utf8.o pcre_version.o \ pcre_chartables.o \ pcre_xclass.o Modified: trunk/Externals/pcre/Makefile.in =================================================================== --- trunk/Externals/pcre/Makefile.in 2008-10-29 22:58:05 UTC (rev 6052) +++ trunk/Externals/pcre/Makefile.in 2008-10-30 15:41:47 UTC (rev 6053) @@ -100,9 +100,8 @@ pcre_dfa_exec.lo pcre_exec.lo pcre_fullinfo.lo pcre_get.lo \ pcre_globals.lo pcre_info.lo pcre_maketables.lo \ pcre_newline.lo pcre_ord2utf8.lo pcre_refcount.lo \ - pcre_study.lo pcre_tables.lo pcre_try_flipped.lo \ - pcre_ucp_searchfuncs.lo pcre_valid_utf8.lo pcre_version.lo \ - pcre_xclass.lo + pcre_study.lo pcre_tables.lo pcre_try_flipped.lo pcre_ucd.lo \ + pcre_valid_utf8.lo pcre_version.lo pcre_xclass.lo nodist_libpcre_la_OBJECTS = pcre_chartables.lo libpcre_la_OBJECTS = $(am_libpcre_la_OBJECTS) \ $(nodist_libpcre_la_OBJECTS) @@ -476,13 +475,11 @@ pcre_study.c \ pcre_tables.c \ pcre_try_flipped.c \ - pcre_ucp_searchfuncs.c \ + pcre_ucd.c \ pcre_valid_utf8.c \ pcre_version.c \ pcre_xclass.c \ - ucp.h \ - ucpinternal.h \ - ucptable.h + ucp.h nodist_libpcre_la_SOURCES = \ pcre_chartables.c @@ -520,7 +517,7 @@ pcre_globals.o pcre_info.o pcre_maketables.o \ pcre_newline.o pcre_ord2utf8.o pcre_refcount.o \ pcre_study.o pcre_tables.o pcre_try_flipped.o \ - pcre_ucp_searchfuncs.o pcre_valid_utf8.o pcre_version.o \ + pcre_ucd.o pcre_valid_utf8.o pcre_version.o \ pcre_chartables.o \ pcre_xclass.o @@ -769,7 +766,7 @@ @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/pcre_study.Plo@am__quote@ @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/pcre_tables.Plo@am__quote@ @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/pcre_try_flipped.Plo@am__quote@ -@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/pcre_ucp_searchfuncs.Plo@am__quote@ +@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/pcre_ucd.Plo@am__quote@ @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/pcre_valid_utf8.Plo@am__quote@ @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/pcre_version.Plo@am__quote@ @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/pcre_xclass.Plo@am__quote@ Modified: trunk/Externals/pcre/NEWS =================================================================== --- trunk/Externals/pcre/NEWS 2008-10-29 22:58:05 UTC (rev 6052) +++ trunk/Externals/pcre/NEWS 2008-10-30 15:41:47 UTC (rev 6053) @@ -2,6 +2,13 @@ ------------------------ +Release 7.8 05-Sep-08 +--------------------- + +More bug fixes, plus a performance improvement in Unicode character property +lookup. + + Release 7.7 07-May-08 --------------------- Modified: trunk/Externals/pcre/NON-UNIX-USE =================================================================== --- trunk/Externals/pcre/NON-UNIX-USE 2008-10-29 22:58:05 UTC (rev 6052) +++ trunk/Externals/pcre/NON-UNIX-USE 2008-10-30 15:41:47 UTC (rev 6053) @@ -85,8 +85,6 @@ pcre_internal.h ucp.h - ucpinternal.h - ucptable.h (5) Also ensure that you have the following file, which is #included as source when building a debugging version of PCRE, and is also used by pcretest. @@ -113,7 +111,7 @@ pcre_study.c pcre_tables.c pcre_try_flipped.c - pcre_ucp_searchfuncs.c + pcre_ucd.c pcre_valid_utf8.c pcre_version.c pcre_xclass.c @@ -190,6 +188,17 @@ __declspec(dllimport), with unwanted results. +CALLING CONVENTIONS IN WINDOWS ENVIRONMENTS + +It is possible to compile programs to use different calling conventions using +MSVC. Search the web for "calling conventions" for more information. To make it +easier to change the calling convention for the exported functions in the +PCRE library, the macro PCRE_CALL_CONVENTION is present in all the external +definitions. It can be set externally when compiling (e.g. in CFLAGS). If it is +not set, it defaults to empty; the default calling convention is then used +(which is what is wanted most of the time). + + COMMENTS ABOUT WIN32 BUILDS (see also "BUILDING PCRE WITH CMAKE" below) There are two ways of building PCRE using the "configure, make, make install" @@ -428,5 +437,5 @@ $! ========================= -Last Updated: 25 January 2008 +Last Updated: 05 September 2008 **** Modified: trunk/Externals/pcre/README =================================================================== --- trunk/Externals/pcre/README 2008-10-29 22:58:05 UTC (rev 6052) +++ trunk/Externals/pcre/README 2008-10-30 15:41:47 UTC (rev 6053) @@ -1,9 +1,12 @@ README file for PCRE (Perl-compatible regular expression library) ----------------------------------------------------------------- -The latest release of PCRE is always available from +The latest release of PCRE is always available in three alternative formats +from: ftp://ftp.csx.cam.ac.uk/pub/software/programming/pcre/pcre-xxx.tar.gz + ftp://ftp.csx.cam.ac.uk/pub/software/programming/pcre/pcre-xxx.tar.bz2 + ftp://ftp.csx.cam.ac.uk/pub/software/programming/pcre/pcre-xxx.zip There is a mailing list for discussion about the development of PCRE at @@ -640,7 +643,7 @@ pcre_study.c ) pcre_tables.c ) pcre_try_flipped.c ) - pcre_ucp_searchfuncs.c ) + pcre_ucd.c ) pcre_valid_utf8.c ) pcre_version.c ) pcre_xclass.c ) @@ -649,9 +652,7 @@ pcre.h.in template for pcre.h when built by "configure" pcreposix.h header for the external POSIX wrapper API pcre_internal.h header for internal use - ucp.h ) headers concerned with - ucpinternal.h ) Unicode property handling - ucptable.h ) (this one is the data table) + ucp.h header for Unicode property handling config.h.in template for config.h, which is built by "configure" @@ -725,6 +726,9 @@ (D) Auxiliary files for cmake support + cmake/COPYING-CMAKE-SCRIPTS + cmake/FindPackageHandleStandardArgs.cmake + cmake/FindReadline.cmake CMakeLists.txt config-cmake.h.in @@ -749,4 +753,4 @@ Philip Hazel Email local part: ph10 Email domain: cam.ac.uk -Last updated: 13 April 2008 +Last updated: 05 September 2008 Modified: trunk/Externals/pcre/RunTest =================================================================== --- trunk/Externals/pcre/RunTest 2008-10-29 22:58:05 UTC (rev 6052) +++ trunk/Externals/pcre/RunTest 2008-10-30 15:41:47 UTC (rev 6053) @@ -144,7 +144,14 @@ if [ $? = 0 ] ; then $cf $testdata/testoutput2 testtry if [ $? != 0 ] ; then exit 1; fi - else exit 1 + else + echo " " + echo "** Test 2 requires a lot of stack. If it has crashed with a" + echo "** segmentation fault, it may be that you do not have enough" + echo "** stack available by default. Please see the 'pcrestack' man" + echo "** page for a discussion of PCRE's stack usage." + echo " " + exit 1 fi echo "OK" fi Modified: trunk/Externals/pcre/Win32/pcre.vcproj =================================================================== --- trunk/Externals/pcre/Win32/pcre.vcproj 2008-10-29 22:58:05 UTC (rev 6052) +++ trunk/Externals/pcre/Win32/pcre.vcproj 2008-10-30 15:41:47 UTC (rev 6053) @@ -354,7 +354,7 @@ RelativePath="..\pcre_try_flipped.c"> </File> <File - RelativePath="..\pcre_ucp_searchfuncs.c"> + RelativePath="..\pcre_ucd.c"> </File> <File RelativePath="..\pcre_valid_utf8.c"> Modified: trunk/Externals/pcre/config.guess =================================================================== --- trunk/Externals/pcre/config.guess 2008-10-29 22:58:05 UTC (rev 6052) +++ trunk/Externals/pcre/config.guess 2008-10-30 15:41:47 UTC (rev 6053) @@ -1,10 +1,10 @@ #! /bin/sh # Attempt to guess a canonical system name. # Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, -# 2000, 2001, 2002, 2003, 2004, 2005, 2006 Free Software Foundation, -# Inc. +# 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008 +# Free Software Foundation, Inc. -timestamp='2007-07-22' +timestamp='2008-01-23' # This file is free software; you can redistribute it and/or modify it # under the terms of the GNU General Public License as published by @@ -56,8 +56,8 @@ GNU config.guess ($timestamp) Originally written by Per Bothner. -Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005 -Free Software Foundation, Inc. +Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, +2002, 2003, 2004, 2005, 2006, 2007, 2008 Free Software Foundation, Inc. This is free software; see the source for copying conditions. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE." @@ -549,7 +549,7 @@ echo rs6000-ibm-aix3.2 fi exit ;; - *:AIX:*:[45]) + *:AIX:*:[456]) IBM_CPU_ID=`/usr/sbin/lsdev -C -c processor -S available | sed 1q | awk '{ print $1 }'` if /usr/sbin/lsattr -El ${IBM_CPU_ID} | grep ' POWER' >/dev/null 2>&1; then IBM_ARCH=rs6000 @@ -816,6 +816,9 @@ EM64T | authenticamd) echo x86_64-unknown-interix${UNAME_RELEASE} exit ;; + IA64) + echo ia64-unknown-interix${UNAME_RELEASE} + exit ;; esac ;; [345]86:Windows_95:* | [345]86:Windows_98:* | [345]86:Windows_NT:*) echo i${UNAME_MACHINE}-pc-mks @@ -850,7 +853,14 @@ echo ${UNAME_MACHINE}-pc-minix exit ;; arm*:Linux:*:*) - echo ${UNAME_MACHINE}-unknown-linux-${LIBC} + eval $set_cc_for_build + if echo __ARM_EABI__ | $CC_FOR_BUILD -E - 2>/dev/null \ + | grep -q __ARM_EABI__ + then + echo ${UNAME_MACHINE}-unknown-linux-${LIBC} + else + echo ${UNAME_MACHINE}-unknown-linux-${LIBC}eabi + fi exit ;; avr32*:Linux:*:*) echo ${UNAME_MACHINE}-unknown-linux-${LIBC} @@ -971,8 +981,8 @@ x86_64:Linux:*:*) echo x86_64-unknown-linux-${LIBC} exit ;; - xtensa:Linux:*:*) - echo xtensa-unknown-linux-${LIBC} + xtensa*:Linux:*:*) + echo ${UNAME_MACHINE}-unknown-linux-${LIBC} exit ;; i*86:Linux:*:*) # The BFD linker knows what the default object file format is, so @@ -1493,9 +1503,9 @@ the operating system you are using. It is advised that you download the most up to date version of the config scripts from - http://savannah.gnu.org/cgi-bin/viewcvs/*checkout*/config/config/config.guess + http://git.savannah.gnu.org/gitweb/?p=config.git;a=blob_plain;f=config.guess;hb=HEAD and - http://savannah.gnu.org/cgi-bin/viewcvs/*checkout*/config/config/config.sub + http://git.savannah.gnu.org/gitweb/?p=config.git;a=blob_plain;f=config.sub;hb=HEAD If the version you run ($0) is already up to date, please send the following data and any information you think might be Modified: trunk/Externals/pcre/config.h.generic =================================================================== --- trunk/Externals/pcre/config.h.generic 2008-10-29 22:58:05 UTC (rev 6052) +++ trunk/Externals/pcre/config.h.generic 2008-10-30 15:41:47 UTC (rev 6053) @@ -238,13 +238,13 @@ #define PACKAGE_NAME "PCRE" /* Define to the full name and version of this package. */ -#define PACKAGE_STRING "PCRE 7.7" +#define PACKAGE_STRING "PCRE 7.8" /* Define to the one symbol short name of this package. */ #define PACKAGE_TARNAME "pcre" /* Define to the version of this package. */ -#define PACKAGE_VERSION "7.7" +#define PACKAGE_VERSION "7.8" /* If you are compiling for a system other than a Unix-like system or @@ -297,7 +297,7 @@ /* Version number of package */ #ifndef VERSION -#define VERSION "7.7" +#define VERSION "7.8" #endif /* Define to empty if `const' does not conform to ANSI C. */ Modified: trunk/Externals/pcre/config.sub =================================================================== --- trunk/Externals/pcre/config.sub 2008-10-29 22:58:05 UTC (rev 6052) +++ trunk/Externals/pcre/config.sub 2008-10-30 15:41:47 UTC (rev 6053) @@ -1,10 +1,10 @@ #! /bin/sh # Configuration validation subroutine script. # Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, -# 2000, 2001, 2002, 2003, 2004, 2005, 2006 Free Software Foundation, -# Inc. +# 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008 +# Free Software Foundation, Inc. -timestamp='2007-06-28' +timestamp='2008-01-16' # This file is (in principle) common to ALL GNU software. # The presence of a machine in this file suggests that SOME GNU software @@ -72,8 +72,8 @@ version="\ GNU config.sub ($timestamp) -Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005 -Free Software Foundation, Inc. +Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, +2002, 2003, 2004, 2005, 2006, 2007, 2008 Free Software Foundation, Inc. This is free software; see the source for copying conditions. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE." @@ -369,10 +369,14 @@ | v850-* | v850e-* | vax-* \ | we32k-* \ | x86-* | x86_64-* | xc16x-* | xps100-* | xscale-* | xscalee[bl]-* \ - | xstormy16-* | xtensa-* \ + | xstormy16-* | xtensa*-* \ | ymp-* \ | z8k-*) ;; + # Recognize the basic CPU types without company name, with glob match. + xtensa*) + basic_machine=$basic_machine-unknown + ;; # Recognize the various machine names and aliases which stand # for a CPU type and a company and sometimes even an OS. 386bsd) @@ -443,6 +447,14 @@ basic_machine=ns32k-sequent os=-dynix ;; + blackfin) + basic_machine=bfin-unknown + os=-linux + ;; + blackfin-*) + basic_machine=bfin-`echo $basic_machine | sed 's/^[^-]*-//'` + os=-linux + ;; c90) basic_machine=c90-cray os=-unicos @@ -668,6 +680,14 @@ basic_machine=m68k-isi os=-sysv ;; + m68knommu) + basic_machine=m68k-unknown + os=-linux + ;; + m68knommu-*) + basic_machine=m68k-`echo $basic_machine | sed 's/^[^-]*-//'` + os=-linux + ;; m88k-omron*) basic_machine=m88k-omron ;; @@ -831,6 +851,14 @@ basic_machine=i860-intel os=-osf ;; + parisc) + basic_machine=hppa-unknown + os=-linux + ;; + parisc-*) + basic_machine=hppa-`echo $basic_machine | sed 's/^[^-]*-//'` + os=-linux + ;; pbd) basic_machine=sparc-tti ;; @@ -1039,6 +1067,10 @@ basic_machine=tic6x-unknown os=-coff ;; + tile*) + basic_machine=tile-unknown + os=-linux-gnu + ;; tx39) basic_machine=mipstx39-unknown ;; Modified: trunk/Externals/pcre/configure =================================================================== --- trunk/Externals/pcre/configure 2008-10-29 22:58:05 UTC (rev 6052) +++ trunk/Externals/pcre/configure 2008-10-30 15:41:47 UTC (rev 6053) @@ -1,6 +1,6 @@ #! /bin/sh # Guess values for system-dependent variables and create Makefiles. -# Generated by GNU Autoconf 2.61 for PCRE 7.7. +# Generated by GNU Autoconf 2.61 for PCRE 7.8. # # Copyright (C) 1992, 1993, 1994, 1995, 1996, 1998, 1999, 2000, 2001, # 2002, 2003, 2004, 2005, 2006 Free Software Foundation, Inc. @@ -726,8 +726,8 @@ # Identity of this package. PACKAGE_NAME='PCRE' PACKAGE_TARNAME='pcre' -PACKAGE_VERSION='7.7' -PACKAGE_STRING='PCRE 7.7' +PACKAGE_VERSION='7.8' +PACKAGE_STRING='PCRE 7.8' PACKAGE_BUGREPORT='' ac_unique_file="pcre.h.in" @@ -1410,7 +1410,7 @@ # Omit some internal or obsolete options to make the list less imposing. # This message is too long to be a string in the A/UX 3.1 sh. cat <<_ACEOF -\`configure' configures PCRE 7.7 to adapt to many kinds of systems. +\`configure' configures PCRE 7.8 to adapt to many kinds of systems. Usage: $0 [OPTION]... [VAR=VALUE]... @@ -1480,7 +1480,7 @@ if test -n "$ac_init_help"; then case $ac_init_help in - short | recursive ) echo "Configuration of PCRE 7.7:";; + short | recursive ) echo "Configuration of PCRE 7.8:";; esac cat <<\_ACEOF @@ -1613,7 +1613,7 @@ test -n "$ac_init_help" && exit $ac_status if $ac_init_version; then cat <<\_ACEOF -PCRE configure 7.7 +PCRE configure 7.8 generated by GNU Autoconf 2.61 Copyright (C) 1992, 1993, 1994, 1995, 1996, 1998, 1999, 2000, 2001, @@ -1627,7 +1627,7 @@ This file contains any messages produced by compilers while running configure, to aid debugging if configure makes a mistake. -It was created by PCRE $as_me 7.7, which was +It was created by PCRE $as_me 7.8, which was generated by GNU Autoconf 2.61. Invocation command line was $ $0 $@ @@ -2318,7 +2318,7 @@ # Define the identity of the package. PACKAGE='pcre' - VERSION='7.7' + VERSION='7.8' cat >>confdefs.h <<_ACEOF @@ -20334,9 +20334,9 @@ PCRE_MAJOR="7" -PCRE_MINOR="7" +PCRE_MINOR="8" PCRE_PRERELEASE="" -PCRE_DATE="2008-05-07" +PCRE_DATE="2008-09-05" @@ -23337,7 +23337,7 @@ # report actual input values of CONFIG_FILES etc. instead of their # values after options handling. ac_log=" -This file was extended by PCRE $as_me 7.7, which was +This file was extended by PCRE $as_me 7.8, which was generated by GNU Autoconf 2.61. Invocation command line was CONFIG_FILES = $CONFIG_FILES @@ -23390,7 +23390,7 @@ _ACEOF cat >>$CONFIG_STATUS <<_ACEOF ac_cs_version="\\ -PCRE config.status 7.7 +PCRE config.status 7.8 configured by $0, generated by GNU Autoconf 2.61, with options \\"`echo "$ac_configure_args" | sed 's/^ //; s/[\\""\`\$]/\\\\&/g'`\\" Modified: trunk/Externals/pcre/configure.ac =================================================================== --- trunk/Externals/pcre/configure.ac 2008-10-29 22:58:05 UTC (rev 6052) +++ trunk/Externals/pcre/configure.ac 2008-10-30 15:41:47 UTC (rev 6053) @@ -7,9 +7,9 @@ dnl empty. m4_define(pcre_major, [7]) -m4_define(pcre_minor, [7]) +m4_define(pcre_minor, [8]) m4_define(pcre_prerelease, []) -m4_define(pcre_date, [2008-05-07]) +m4_define(pcre_date, [2008-09-05]) # Libtool shared library interface versions (current:revision:age) m4_define(libpcre_version, [0:1:0]) Modified: trunk/Externals/pcre/doc/html/pcreapi.html =================================================================== --- trunk/Externals/pcre/doc/html/pcreapi.html 2008-10-29 22:58:05 UTC (rev 6052) +++ trunk/Externals/pcre/doc/html/pcreapi.html 2008-10-30 15:41:47 UTC (rev 6053) @@ -1376,11 +1376,11 @@ </b><br> <P> The subject string is passed to <b>pcre_exec()</b> as a pointer in -<i>subject</i>, a length in <i>length</i>, and a starting byte offset in -<i>startoffset</i>. In UTF-8 mode, the byte offset must point to the start of a -UTF-8 character. Unlike the pattern string, the subject may contain binary zero -bytes. When the starting offset is zero, the search for a match starts at the -beginning of the subject, and this is by far the most common case. +<i>subject</i>, a length (in bytes) in <i>length</i>, and a starting byte offset +in <i>startoffset</i>. In UTF-8 mode, the byte offset must point to the start of +a UTF-8 character. Unlike the pattern string, the subject may contain binary +zero bytes. When the starting offset is zero, the search for a match starts at +the beginning of the subject, and this is by far the most common case. </P> <P> A non-zero starting offset is useful when searching for another match in the @@ -1418,42 +1418,46 @@ kinds of parenthesized subpattern that do not cause substrings to be captured. </P> <P> -Captured substrings are returned to the caller via a vector of integer offsets -whose address is passed in <i>ovector</i>. The number of elements in the vector -is passed in <i>ovecsize</i>, which must be a non-negative number. <b>Note</b>: -this argument is NOT the size of <i>ovector</i> in bytes. +Captured substrings are returned to the caller via a vector of integers whose +address is passed in <i>ovector</i>. The number of elements in the vector is +passed in <i>ovecsize</i>, which must be a non-negative number. <b>Note</b>: this +argument is NOT the size of <i>ovector</i> in bytes. </P> <P> The first two-thirds of the vector is used to pass back captured substrings, each substring using a pair of integers. The remaining third of the vector is used as workspace by <b>pcre_exec()</b> while matching capturing subpatterns, -and is not available for passing back information. The length passed in +and is not available for passing back information. The number passed in <i>ovecsize</i> should always be a multiple of three. If it is not, it is rounded down. </P> <P> When a match is successful, information about captured substrings is returned in pairs of integers, starting at the beginning of <i>ovector</i>, and -continuing up to two-thirds of its length at the most. The first element of a -pair is set to the offset of the first character in a substring, and the second -is set to the offset of the first character after the end of a substring. The -first pair, <i>ovector[0]</i> and <i>ovector[1]</i>, identify the portion of the -subject string matched by the entire pattern. The next pair is used for the -first capturing subpattern, and so on. The value returned by <b>pcre_exec()</b> -is one more than the highest numbered pair that has been set. For example, if -two substrings have been captured, the returned value is 3. If there are no -capturing subpatterns, the return value from a successful match is 1, -indicating that just the first pair of offsets has been set. +continuing up to two-thirds of its length at the most. The first element of +each pair is set to the byte offset of the first character in a substring, and +the second is set to the byte offset of the first character after the end of a +substring. <b>Note</b>: these values are always byte offsets, even in UTF-8 +mode. They are not character counts. </P> <P> +The first pair of integers, <i>ovector[0]</i> and <i>ovector[1]</i>, identify the +portion of the subject string matched by the entire pattern. The next pair is +used for the first capturing subpattern, and so on. The value returned by +<b>pcre_exec()</b> is one more than the highest numbered pair that has been set. +For example, if two substrings have been captured, the returned value is 3. If +there are no capturing subpatterns, the return value from a successful match is +1, indicating that just the first pair of offsets has been set. +</P> +<P> If a capturing subpattern is matched repeatedly, it is the last portion of the string that it matched that is returned. </P> <P> If the vector is too small to hold all the captured substring offsets, it is used as far as possible (up to two-thirds of its length), and the function -returns a value of zero. In particular, if the substring offsets are not of -interest, <b>pcre_exec()</b> may be called with <i>ovector</i> passed as NULL and +returns a value of zero. If the substring offsets are not of interest, +<b>pcre_exec()</b> may be called with <i>ovector</i> passed as NULL and <i>ovecsize</i> as zero. However, if the pattern contains back references and the <i>ovector</i> is not big enough to remember the related substrings, PCRE has to get additional memory for use during matching. Thus it is usually @@ -1972,7 +1976,7 @@ </P> <br><a name="SEC22" href="#TOC1">REVISION</a><br> <P> -Last updated: 12 April 2008 +Last updated: 24 August 2008 <br> Copyright © 1997-2008 University of Cambridge. <br> Modified: trunk/Externals/pcre/doc/html/pcrestack.html =================================================================== --- trunk/Externals/pcre/doc/html/pcrestack.html 2008-10-29 22:58:05 UTC (rev 6052) +++ trunk/Externals/pcre/doc/html/pcrestack.html 2008-10-30 15:41:47 UTC (rev 6053) @@ -77,6 +77,9 @@ subject strings is to write repeated parenthesized subpatterns to match more than one character whenever possible. </P> +<br><b> +Compiling PCRE to use heap instead of stack +</b><br> <P> In environments where stack memory is constrained, you might want to compile PCRE to use heap memory instead of stack for remembering back-up points. This @@ -91,7 +94,31 @@ same, and are always freed in reverse order, it may be possible to implement customized memory handlers that are more efficient than the standard functions. </P> +<br><b> +Limiting PCRE's stack usage +</b><br> <P> +PCRE has an internal counter that can be used to limit the depth of recursion, +and thus cause <b>pcre_exec()</b> to give an error code before it runs out of +stack. By default, the limit is very large, and unlikely ever to operate. It +can be changed when PCRE is built, and it can also be set when +<b>pcre_exec()</b> is called. For details of these interfaces, see the +<a href="pcrebuild.html"><b>pcrebuild</b></a> +and +<a href="pcreapi.html"><b>pcreapi</b></a> +documentation. +</P> +<P> +As a very rough rule of thumb, you should reckon on about 500 bytes per +recursion. Thus, if you want to limit your stack usage to 8Mb, you +should set the limit at 16000 recursions. A 64Mb stack, on the other hand, can +support around 128000 recursions. The <b>pcretest</b> test program has a command +line option (<b>-S</b>) that can be used to increase the size of its stack. +</P> +<br><b> +Changing stack size in Unix-like systems +</b><br> +<P> In Unix-like environments, there is not often a problem with the stack unless very long strings are involved, though the default limit on stack size varies from system to system. Values from 8Mb to 64Mb are common. You can find your @@ -112,24 +139,15 @@ attempts to increase the soft limit to 100Mb using <b>setrlimit()</b>. You must do this before calling <b>pcre_exec()</b>. </P> +<br><b> +Changing stack size in Mac OS X +</b><br> <P> -PCRE has an internal counter that can be used to limit the depth of recursion, -and thus cause <b>pcre_exec()</b> to give an error code before it runs out of -stack. By default, the limit is very large, and unlikely ever to operate. It -can be changed when PCRE is built, and it can also be set when -<b>pcre_exec()</b> is called. For details of these interfaces, see the -<a href="pcrebuild.html"><b>pcrebuild</b></a> -and -<a href="pcreapi.html"><b>pcreapi</b></a> -documentation. +Using <b>setrlimit()</b>, as described above, should also work on Mac OS X. It +is also possible to set a stack size when linking a program. There is a +discussion about stack sizes in Mac OS X at this web site: +<a href="http://developer.apple.com/qa/qa2005/qa1419.html">http://developer.apple.com/qa/qa2005/qa1419.html.</a> </P> -<P> -As a very rough rule of thumb, you should reckon on about 500 bytes per -recursion. Thus, if you want to limit your stack usage to 8Mb, you -should set the limit at 16000 recursions. A 64Mb stack, on the other hand, can -support around 128000 recursions. The <b>pcretest</b> test program has a command -line option (<b>-S</b>) that can be used to increase the size of its stack. -</P> <br><b> AUTHOR </b><br> @@ -145,9 +163,9 @@ REVISION </b><br> <P> -Last updated: 05 June 2007 +Last updated: 09 July 2008 <br> -Copyright © 1997-2007 University of Cambridge. +Copyright © 1997-2008 University of Cambridge. <br> <p> Return to the <a href="index.html">PCRE index page</a>. Modified: trunk/Externals/pcre/doc/pcre.txt =================================================================== --- trunk/Externals/pcre/doc/pcre.txt 2008-10-29 22:58:05 UTC (rev 6052) +++ trunk/Externals/pcre/doc/pcre.txt 2008-10-30 15:41:47 UTC (rev 6053) @@ -2047,83 +2047,87 @@ The string to be matched by pcre_exec() The subject string is passed to pcre_exec() as a pointer in subject, a - length in length, and a starting byte offset in startoffset. In UTF-8 - mode, the byte offset must point to the start of a UTF-8 character. - Unlike the pattern string, the subject may contain binary zero bytes. - When the starting offset is zero, the search for a match starts at the - beginning of the subject, and this is by far the most common case. + length (in bytes) in length, and a starting byte offset in startoffset. + In UTF-8 mode, the byte offset must point to the start of a UTF-8 char- + acter. Unlike the pattern string, the subject may contain binary zero + bytes. When the starting offset is zero, the search for a match starts + at the beginning of the subject, and this is by far the most common + case. - A non-zero starting offset is useful when searching for another match - in the same subject by calling pcre_exec() again after a previous suc- - cess. Setting startoffset differs from just passing over a shortened - string and setting PCRE_NOTBOL in the case of a pattern that begins + A non-zero starting offset is useful when searching for another match + in the same subject by calling pcre_exec() again after a previous suc- + cess. Setting startoffset differs from just passing over a shortened + string and setting PCRE_NOTBOL in the case of a pattern that begins with any kind of lookbehind. For example, consider the pattern \Biss\B - which finds occurrences of "iss" in the middle of words. (\B matches - only if the current position in the subject is not a word boundary.) - When applied to the string "Mississipi" the first call to pcre_exec() - finds the first occurrence. If pcre_exec() is called again with just - the remainder of the subject, namely "issipi", it does not match, + which finds occurrences of "iss" in the middle of words. (\B matches + only if the current position in the subject is not a word boundary.) + When applied to the string "Mississipi" the first call to pcre_exec() + finds the first occurrence. If pcre_exec() is called again with just + the remainder of the subject, namely "issipi", it does not match, because \B is always false at the start of the subject, which is deemed - to be a word boundary. However, if pcre_exec() is passed the entire + to be a word boundary. However, if pcre_exec() is passed the entire string again, but with startoffset set to 4, it finds the second occur- - rence of "iss" because it is able to look behind the starting point to + rence of "iss" because it is able to look behind the starting point to discover that it is preceded by a letter. - If a non-zero starting offset is passed when the pattern is anchored, + If a non-zero starting offset is passed when the pattern is anchored, one attempt to match at the given offset is made. This can only succeed - if the pattern does not require the match to be at the start of the + if the pattern does not require the match to be at the start of the subject. How pcre_exec() returns captured substrings - In general, a pattern matches a certain portion of the subject, and in - addition, further substrings from the subject may be picked out by - parts of the pattern. Following the usage in Jeffrey Friedl's book, - this is called "capturing" in what follows, and the phrase "capturing - subpattern" is used for a fragment of a pattern that picks out a sub- - string. PCRE supports several other kinds of parenthesized subpattern + In general, a pattern matches a certain portion of the subject, and in + addition, further substrings from the subject may be picked out by + parts of the pattern. Following the usage in Jeffrey Friedl's book, + this is called "capturing" in what follows, and the phrase "capturing + subpattern" is used for a fragment of a pattern that picks out a sub- + string. PCRE supports several other kinds of parenthesized subpattern that do not cause substrings to be captured. - Captured substrings are returned to the caller via a vector of integer - offsets whose address is passed in ovector. The number of elements in - the vector is passed in ovecsize, which must be a non-negative number. - Note: this argument is NOT the size of ovector in bytes. + Captured substrings are returned to the caller via a vector of integers + whose address is passed in ovector. The number of elements in the vec- + tor is passed in ovecsize, which must be a non-negative number. Note: + this argument is NOT the size of ovector in bytes. - The first two-thirds of the vector is used to pass back captured sub- - strings, each substring using a pair of integers. The remaining third - of the vector is used as workspace by pcre_exec() while matching cap- - turing subpatterns, and is not available for passing back information. - The length passed in ovecsize should always be a multiple of three. If + The first two-thirds of the vector is used to pass back captured sub- + strings, each substring using a pair of integers. The remaining third + of the vector is used as workspace by pcre_exec() while matching cap- + turing subpatterns, and is not available for passing back information. + The number passed in ovecsize should always be a multiple of three. If it is not, it is rounded down. - When a match is successful, information about captured substrings is - returned in pairs of integers, starting at the beginning of ovector, - and continuing up to two-thirds of its length at the most. The first - element of a pair is set to the offset of the first character in a sub- - string, and the second is set to the offset of the first character - after the end of a substring. The first pair, ovector[0] and ovec- - tor[1], identify the portion of the subject string matched by the - entire pattern. The next pair is used for the first capturing subpat- - tern, and so on. The value returned by pcre_exec() is one more than the - highest numbered pair that has been set. For example, if two substrings - have been captured, the returned value is 3. If there are no capturing - subpatterns, the return value from a successful match is 1, indicating - that just the first pair of offsets has been set. + When a match is successful, information about captured substrings is + returned in pairs of integers, starting at the beginning of ovector, + and continuing up to two-thirds of its length at the most. The first + element of each pair is set to the byte offset of the first character + in a substring, and the second is set to the byte offset of the first + character after the end of a substring. Note: these values are always + byte offsets, even in UTF-8 mode. They are not character counts. + The first pair of integers, ovector[0] and ovector[1], identify the + portion of the subject string matched by the entire pattern. The next + pair is used for the first capturing subpattern, and so on. The value + returned by pcre_exec() is one more than the highest numbered pair that + has been set. For example, if two substrings have been captured, the + returned value is 3. If there are no capturing subpatterns, the return + value from a successful match is 1, indicating that just the first pair + of offsets has been set. + If a capturing subpattern is matched repeatedly, it is the last portion of the string that it matched that is returned. If the vector is too small to hold all the captured substring offsets, it is used as far as possible (up to two-thirds of its length), and the - function returns a value of zero. In particular, if the substring off- - sets are not of interest, pcre_exec() may be called with ovector passed - as NULL and ovecsize as zero. However, if the pattern contains back - references and the ovector is not big enough to remember the related - substrings, PCRE has to get additional memory for use during matching. - Thus it is usually advisable to supply an ovector. + function returns a value of zero. If the substring offsets are not of + interest, pcre_exec() may be called with ovector passed as NULL and + ovecsize as zero. However, if the pattern contains back references and + the ovector is not big enough to remember the related substrings, PCRE + has to get additional memory for use during matching. Thus it is usu- + ally advisable to supply an ovector. The pcre_info() function can be used to find out how many capturing subpatterns there are in a compiled pattern. The smallest size for @@ -2604,7 +2608,7 @@ REVISION - Last updated: 12 April 2008 + Last updated: 24 August 2008 Copyright (c) 1997-2008 University of Cambridge. ------------------------------------------------------------------------------ @@ -6536,6 +6540,8 @@ ing long subject strings is to write repeated parenthesized subpatterns to match more than one character whenever possible. + Compiling PCRE to use heap instead of stack + In environments where stack memory is constrained, you might want to compile PCRE to use heap memory instead of stack for remembering back- up points. This makes it run a lot more slowly, however. Details of how @@ -6548,6 +6554,24 @@ freed in reverse order, it may be possible to implement customized mem- ory handlers that are more efficient than the standard functions. + Limiting PCRE's stack usage + + PCRE has an internal counter that can be used to limit the depth of + recursion, and thus cause pcre_exec() to give an error code before it + runs out of stack. By default, the limit is very large, and unlikely + ever to operate. It can be changed when PCRE is built, and it can also + be set when pcre_exec() is called. For details of these interfaces, see + the pcrebuild and pcreapi documentation. + + As a very rough rule of thumb, you should reckon on about 500 bytes per + recursion. Thus, if you want to limit your stack usage to 8Mb, you + should set the limit at 16000 recursions. A 64Mb stack, on the other + hand, can support around 128000 recursions. The pcretest test program + has a command line option (-S) that can be used to increase the size of + its stack. + + Changing stack size in Unix-like systems + In Unix-like environments, there is not often a problem with the stack unless very long strings are involved, though the default limit on stack size varies from system to system. Values from 8Mb to 64Mb are @@ -6568,19 +6592,12 @@ attempts to increase the soft limit to 100Mb using setrlimit(). You must do this before calling pcre_exec(). - PCRE has an internal counter that can be used to limit the depth of - recursion, and thus cause pcre_exec() to give an error code before it - runs out of stack. By default, the limit is very large, and unlikely - ever to operate. It can be changed when PCRE is built, and it can also - be set when pcre_exec() is called. For details of these interfaces, see - the pcrebuild and pcreapi documentation. + Changing stack size in Mac OS X - As a very rough rule of thumb, you should reckon on about 500 bytes per - recursion. Thus, if you want to limit your stack usage to 8Mb, you - should set the limit at 16000 recursions. A 64Mb stack, on the other - hand, can support around 128000 recursions. The pcretest test program - has a command line option (-S) that can be used to increase the size of - its stack. + Using setrlimit(), as described above, should also work on Mac OS X. It + is also possible to set a stack size when linking a program. There is a + discussion about stack sizes in Mac OS X at this web site: + http://developer.apple.com/qa/qa2005/qa1419.html. AUTHOR @@ -6592,8 +6609,8 @@ REVISION - Last updated: 05 June 2007 - Copyright (c) 1997-2007 University of Cambridge. + Last updated: 09 July 2008 + Copyright (c) 1997-2008 University of Cambridge. ------------------------------------------------------------------------------ Modified: trunk/Externals/pcre/doc/pcreapi.3 =================================================================== --- trunk/Externals/pcre/doc/pcreapi.3 2008-10-29 22:58:05 UTC (rev 6052) +++ trunk/Externals/pcre/doc/pcreapi.3 2008-10-30 15:41:47 UTC (rev 6053) @@ -1371,11 +1371,11 @@ .rs .sp The subject string is passed to \fBpcre_exec()\fP as a pointer in -\fIsubject\fP, a length in \fIlength\fP, and a starting byte offset in -\fIstartoffset\fP. In UTF-8 mode, the byte offset must point to the start of a -UTF-8 character. Unlike the pattern string, the subject may contain binary zero -bytes. When the starting offset is zero, the search for a match starts at the -beginning of the subject, and this is by far the most common case. +\fIsubject\fP, a length (in bytes) in \fIlength\fP, and a starting byte offset +in \fIstartoffset\fP. In UTF-8 mode, the byte offset must point to the start of +a UTF-8 character. Unlike the pattern string, the subject may contain binary +zero bytes. When the starting offset is zero, the search for a match starts at +the beginning of the subject, and this is by far the most common case. .P A non-zero starting offset is useful when searching for another match in the same subject by calling \fBpcre_exec()\fP again after a previous success. @@ -1409,38 +1409,41 @@ a fragment of a pattern that picks out a substring. PCRE supports several other kinds of parenthesized subpattern that do not cause substrings to be captured. .P -Captured substrings are returned to the caller via a vector of integer offsets -whose address is passed in \fIovector\fP. The number of elements in the vector -is passed in \fIovecsize\fP, which must be a non-negative number. \fBNote\fP: -this argument is NOT the size of \fIovector\fP in bytes. +Captured substrings are returned to the caller via a vector of integers whose +address is passed in \fIovector\fP. The number of elements in the vector is +passed in \fIovecsize\fP, which must be a non-negative number. \fBNote\fP: this +argument is NOT the size of \fIovector\fP in bytes. .P The first two-thirds of the vector is used to pass back captured substrings, each substring using a pair of integers. The remaining third of the vector is used as workspace by \fBpcre_exec()\fP while matching capturing subpatterns, -and is not available for passing back information. The length passed in +and is not available for passing back information. The number passed in \fIovecsize\fP should always be a multiple of three. If it is not, it is rounded down. .P When a match is successful, information about captured substrings is returned in pairs of integers, starting at the beginning of \fIovector\fP, and -continuing up to two-thirds of its length at the most. The first element of a -pair is set to the offset of the first character in a substring, and the second -is set to the offset of the first character after the end of a substring. The -first pair, \fIovector[0]\fP and \fIovector[1]\fP, identify the portion of the -subject string matched by the entire pattern. The next pair is used for the -first capturing subpattern, and so on. The value returned by \fBpcre_exec()\fP -is one more than the highest numbered pair that has been set. For example, if -two substrings have been captured, the returned value is 3. If there are no -capturing subpatterns, the return value from a successful match is 1, -indicating that just the first pair of offsets has been set. +continuing up to two-thirds of its length at the most. The first element of +each pair is set to the byte offset of the first character in a substring, and +the second is set to the byte offset of the first character after the end of a +substring. \fBNote\fP: these values are always byte offsets, even in UTF-8 +mode. They are not character counts. .P +The first pair of integers, \fIovector[0]\fP and \fIovector[1]\fP, identify the +portion of the subject string matched by the entire pattern. The next pair is +used for the first capturing subpattern, and so on. The value returned by +\fBpcre_exec()\fP is one more than the highest numbered pair that has been set. +For example, if two substrings have been captured, the returned value is 3. If +there are no capturing subpatterns, the return value from a successful match is +1, indicating that just the first pair of offsets has been set. +.P If a capturing subpattern is matched repeatedly, it is the last portion of the string that it matched that is returned. .P If the vector is too small to hold all the captured substring offsets, it is used as far as possible (up to two-thirds of its length), and the function -returns a value of zero. In particular, if the substring offsets are not of -interest, \fBpcre_exec()\fP may be called with \fIovector\fP passed as NULL and +returns a value of zero. If the substring offsets are not of interest, +\fBpcre_exec()\fP may be called with \fIovector\fP passed as NULL and \fIovecsize\fP as zero. However, if the pattern contains back references and the \fIovector\fP is not big enough to remember the related substrings, PCRE has to get additional memory for use during matching. Thus it is usually @@ -1975,6 +1978,6 @@ .rs .sp .nf -Last updated: 12 April 2008 +Last updated: 24 August 2008 Copyright (c) 1997-2008 University of Cambridge. .fi Modified: trunk/Externals/pcre/doc/pcrestack.3 =================================================================== --- trunk/Externals/pcre/doc/pcrestack.3 2008-10-29 22:58:05 UTC (rev 6052) +++ trunk/Externals/pcre/doc/pcrestack.3 2008-10-30 15:41:47 UTC (rev 6053) @@ -64,7 +64,10 @@ This example shows that one way of avoiding stack problems when matching long subject strings is to write repeated parenthesized subpatterns to match more than one character whenever possible. -.P +. +.SS "Compiling PCRE to use heap instead of stack" +.rs +.sp In environments where stack memory is constrained, you might want to compile PCRE to use heap memory instead of stack for remembering back-up points. This makes it run a lot more slowly, however. Details of how to do this are given in @@ -79,7 +82,33 @@ cause PCRE to use your own functions. Since the block sizes are always the same, and are always freed in reverse order, it may be possible to implement customized memory handlers that are more efficient than the standard functions. +. +.SS "Limiting PCRE's stack usage" +.rs +.sp +PCRE has an internal counter that can be used to limit the depth of recursion, +and thus cause \fBpcre_exec()\fP to give an error code before it runs out of +stack. By default, the limit is very large, and unlikely ever to operate. It +can be changed when PCRE is built, and it can also be set when +\fBpcre_exec()\fP is called. For details of these interfaces, see the +.\" HREF +\fBpcrebuild\fP +.\" +and +.\" HREF +\fBpcreapi\fP +.\" +documentation. .P +As a very rough rule of thumb, you should reckon on about 500 bytes per +recursion. Thus, if you want to limit your stack usage to 8Mb, you +should set the limit at 16000 recursions. A 64Mb stack, on the other hand, can +support around 128000 recursions. The \fBpcretest\fP test program has a command +line option (\fB-S\fP) that can be used to increase the size of its stack. +. +.SS "Changing stack size in Unix-like systems" +.rs +.sp In Unix-like environments, there is not often a problem with the stack unless very long strings are involved, though the default limit on stack size varies from system to system. Values from 8Mb to 64Mb are common. You can find your @@ -99,26 +128,17 @@ This reads the current limits (soft and hard) using \fBgetrlimit()\fP, then attempts to increase the soft limit to 100Mb using \fBsetrlimit()\fP. You must do this before calling \fBpcre_exec()\fP. -.P -PCRE has an internal counter that can be used to limit the depth of recursion, -and thus cause \fBpcre_exec()\fP to give an error code before it runs out of -stack. By default, the limit is very large, and unlikely ever to operate. It -can be changed when PCRE is built, and it can also be set when -\fBpcre_exec()\fP is called. For details of these interfaces, see the -.\" HREF -\fBpcrebuild\fP +. +.SS "Changing stack size in Mac OS X" +.rs +.sp +Using \fBsetrlimit()\fP, as described above, should also work on Mac OS X. It +is also possible to set a stack size when linking a program. There is a +discussion about stack sizes in Mac OS X at this web site: +.\" HTML <a href="http://developer.apple.com/qa/qa2005/qa1419.html"> +.\" </a> +http://developer.apple.com/qa/qa2005/qa1419.html. .\" -and -.\" HREF -\fBpcreapi\fP -.\" -documentation. -.P -As a very rough rule of thumb, you should reckon on about 500 bytes per -recursion. Thus, if you want to limit your stack usage to 8Mb, you -should set the limit at 16000 recursions. A 64Mb stack, on the other hand, can -support around 128000 recursions. The \fBpcretest\fP test program has a command -line option (\fB-S\fP) that can be used to increase the size of its stack. . . .SH AUTHOR @@ -135,6 +155,6 @@ .rs .sp .nf -Last updated: 05 June 2007 -Copyright (c) 1997-2007 University of Cambridge. +Last updated: 09 July 2008 +Copyright (c) 1997-2008 University of Cambridge. .fi Modified: trunk/Externals/pcre/makevp.bat =================================================================== --- trunk/Externals/pcre/makevp.bat 2008-10-29 22:58:05 UTC (rev 6052) +++ trunk/Externals/pcre/makevp.bat 2008-10-30 15:41:47 UTC (rev 6053) @@ -1,44 +1,66 @@ -@echo off - :: AH 20-12-06 modified for new PCRE-7.0 and VP/BCC :: PH 19-03-07 renamed !compile.txt and !linklib.txt as makevp-compile.txt and :: makevp-linklib.txt :: PH 26-03-07 re-renamed !compile.txt and !linklib.txt as makevp-c.txt and :: makevp-l.txt :: PH 29-03-07 hopefully the final rename to makevp_c and makevp_l +:: AH 27.08.08 updated for new PCRE-7.7 +:: required PCRE.H and CONFIG.H will be generated if not existing +@echo off +echo. +echo Compiling PCRE with BORLAND C++ for VIRTUAL PASCAL +echo. + REM This file was contributed by Alexander Tokarev for building PCRE for use REM with Virtual Pascal. It has not been tested with the latest PCRE release. +REM This file has been modified and extended to compile with newer PCRE releases +REM by Stefan Weber (Angels Holocaust). + REM CHANGE THIS FOR YOUR BORLAND C++ COMPILER PATH +SET BORLAND=f:\bcc +REM location of the TASM binaries, if compiling with the -B BCC switch +SET TASM=f:\tasm -SET BORLAND=F:\bcc -SET PATH=%PATH%;%BORLAND%\bin;f:\tasm\bin -SET PCRE_VER=70 +SET PATH=%PATH%;%BORLAND%\bin;%TASM%\bin +SET PCRE_VER=77 +SET COMPILE_DEFAULTS=-DHAVE_CONFIG_H -DPCRE_STATIC -I%BORLAND%\include +del pcre%PCRE_VER%.lib >nul 2>nul + :: sh configure -bcc32 -DDFTABLES -DSTATIC -I%BORLAND%\include -L%BORLAND%\lib dftables.c -:: bcc32 -DDFTABLES -DSTATIC -DVPCOMPAT -I%BORLAND%\include -L%BORLAND%\lib dftables.c -IF ERRORLEVEL 1 EXIT +:: check for needed header files +if not exist pcre.h copy pcre.h.generic pcre.h +if not exist config.h copy config.h.generic config.h +bcc32 -DDFTABL... [truncated message content] |
From: <ki...@us...> - 2008-11-01 18:11:17
|
Revision: 6056 http://winmerge.svn.sourceforge.net/winmerge/?rev=6056&view=rev Author: kimmov Date: 2008-11-01 18:11:12 +0000 (Sat, 01 Nov 2008) Log Message: ----------- PATCH: [ 2132279 ] PCRE wrong version used Submitted by Matthias Mayer Project file changes by Kimmo Modified Paths: -------------- trunk/Externals/expat/lib/expat.vcproj trunk/Externals/expat/lib/expat_static.vcproj trunk/Externals/expat/lib/expatw.vcproj trunk/Externals/expat/lib/expatw_static.vcproj trunk/Externals/heksedit/heksedit.vcproj trunk/Externals/pcre/Win32/pcre.vcproj trunk/Externals/scew/win32/scew.vcproj trunk/Src/Merge.vcproj trunk/Src/PreLink.bat Modified: trunk/Externals/expat/lib/expat.vcproj =================================================================== --- trunk/Externals/expat/lib/expat.vcproj 2008-10-30 23:18:25 UTC (rev 6055) +++ trunk/Externals/expat/lib/expat.vcproj 2008-11-01 18:11:12 UTC (rev 6056) @@ -52,11 +52,7 @@ TypeLibraryName=".\../../../Build/expat/lib/Debug/expat.tlb" HeaderFileName=""/> <Tool - Name="VCPostBuildEventTool" - CommandLine="mkdir ..\..\..\Build\expat -copy ..\..\..\Build\expat\lib\debug\libexpat.lib ..\..\..\Build\expat -copy ..\..\..\Build\expat\lib\debug\libexpat.dll ..\..\..\Build\expat -"/> + Name="VCPostBuildEventTool"/> <Tool Name="VCPreBuildEventTool"/> <Tool @@ -117,11 +113,7 @@ TypeLibraryName=".\../../../Build/expat/lib/Release/expat.tlb" HeaderFileName=""/> <Tool - Name="VCPostBuildEventTool" - CommandLine="mkdir ..\..\..\Build\expat -copy ..\..\..\Build\expat\lib\release\libexpat.lib ..\..\..\Build\expat -copy ..\..\..\Build\expat\lib\release\libexpat.dll ..\..\..\Build\expat -"/> + Name="VCPostBuildEventTool"/> <Tool Name="VCPreBuildEventTool"/> <Tool Modified: trunk/Externals/expat/lib/expat_static.vcproj =================================================================== --- trunk/Externals/expat/lib/expat_static.vcproj 2008-10-30 23:18:25 UTC (rev 6055) +++ trunk/Externals/expat/lib/expat_static.vcproj 2008-11-01 18:11:12 UTC (rev 6056) @@ -41,10 +41,7 @@ <Tool Name="VCMIDLTool"/> <Tool - Name="VCPostBuildEventTool" - CommandLine="mkdir ..\..\..\Build\expat -copy ..\..\..\Build\expat\lib\Debug_static\libexpatMT.lib ..\..\..\Build\expat -"/> + Name="VCPostBuildEventTool"/> <Tool Name="VCPreBuildEventTool"/> <Tool @@ -93,10 +90,7 @@ <Tool Name="VCMIDLTool"/> <Tool - Name="VCPostBuildEventTool" - CommandLine="mkdir ..\..\..\Build\expat -copy ..\..\..\Build\expat\lib\release_static\libexpatMT.lib ..\..\..\Build\expat -"/> + Name="VCPostBuildEventTool"/> <Tool Name="VCPreBuildEventTool"/> <Tool Modified: trunk/Externals/expat/lib/expatw.vcproj =================================================================== --- trunk/Externals/expat/lib/expatw.vcproj 2008-10-30 23:18:25 UTC (rev 6055) +++ trunk/Externals/expat/lib/expatw.vcproj 2008-11-01 18:11:12 UTC (rev 6056) @@ -51,11 +51,7 @@ TypeLibraryName=".\../../../Build/expat/lib/Release-w/expatw.tlb" HeaderFileName=""/> <Tool - Name="VCPostBuildEventTool" - CommandLine="mkdir ..\..\..\Build\expat -copy ..\..\..\Build\expat\lib\Release-w\libexpatw.dll ..\..\..\Build\expat -copy ..\..\..\Build\expat\lib\Release-w\libexpatw.lib ..\..\..\Build\expat -"/> + Name="VCPostBuildEventTool"/> <Tool Name="VCPreBuildEventTool"/> <Tool @@ -117,11 +113,7 @@ TypeLibraryName=".\../../../Build/expat/lib/Debug-w/expatw.tlb" HeaderFileName=""/> <Tool - Name="VCPostBuildEventTool" - CommandLine="mkdir ..\..\..\Build\expat -copy ..\..\..\Build\expat\lib\Debug-w\libexpatw.dll ..\..\..\Build\expat -copy ..\..\..\Build\expat\lib\Debug-w\libexpatw.lib ..\..\..\Build\expat -"/> + Name="VCPostBuildEventTool"/> <Tool Name="VCPreBuildEventTool"/> <Tool Modified: trunk/Externals/expat/lib/expatw_static.vcproj =================================================================== --- trunk/Externals/expat/lib/expatw_static.vcproj 2008-10-30 23:18:25 UTC (rev 6055) +++ trunk/Externals/expat/lib/expatw_static.vcproj 2008-11-01 18:11:12 UTC (rev 6056) @@ -41,10 +41,7 @@ <Tool Name="VCMIDLTool"/> <Tool - Name="VCPostBuildEventTool" - CommandLine="mkdir ..\..\..\Build\expat -copy ..\..\..\Build\expat\lib\Release-w_static\libexpatwMT.lib ..\..\..\Build\expat -"/> + Name="VCPostBuildEventTool"/> <Tool Name="VCPreBuildEventTool"/> <Tool @@ -93,10 +90,7 @@ <Tool Name="VCMIDLTool"/> <Tool - Name="VCPostBuildEventTool" - CommandLine="mkdir ..\..\..\Build\expat -copy ..\..\..\Build\expat\lib\Debug-w_static\libexpatwMT.lib ..\..\..\Build\expat -"/> + Name="VCPostBuildEventTool"/> <Tool Name="VCPreBuildEventTool"/> <Tool Modified: trunk/Externals/heksedit/heksedit.vcproj =================================================================== --- trunk/Externals/heksedit/heksedit.vcproj 2008-10-30 23:18:25 UTC (rev 6055) +++ trunk/Externals/heksedit/heksedit.vcproj 2008-11-01 18:11:12 UTC (rev 6056) @@ -57,8 +57,7 @@ TypeLibraryName=".\..\..\Build\heksedit\$(ConfigurationName)\heksedit.tlb" HeaderFileName=""/> <Tool - Name="VCPostBuildEventTool" - CommandLine="copy $(OutDir)\heksedit.dll ..\..\Build\heksedit"/> + Name="VCPostBuildEventTool"/> <Tool Name="VCPreBuildEventTool"/> <Tool @@ -123,8 +122,7 @@ TypeLibraryName=".\..\..\Build\heksedit\$(ConfigurationName)\heksedit.tlb" HeaderFileName=""/> <Tool - Name="VCPostBuildEventTool" - CommandLine="copy $(OutDir)\heksedit.dll ..\..\Build\heksedit"/> + Name="VCPostBuildEventTool"/> <Tool Name="VCPreBuildEventTool"/> <Tool Modified: trunk/Externals/pcre/Win32/pcre.vcproj =================================================================== --- trunk/Externals/pcre/Win32/pcre.vcproj 2008-10-30 23:18:25 UTC (rev 6055) +++ trunk/Externals/pcre/Win32/pcre.vcproj 2008-11-01 18:11:12 UTC (rev 6056) @@ -63,10 +63,7 @@ InterfaceIdentifierFileName="$(InputName)_i.c" ProxyFileName="$(InputName)_p.c"/> <Tool - Name="VCPostBuildEventTool" - CommandLine="copy $(OutDir)\pcre.dll ..\..\..\Build\pcre -copy $(OutDir)\pcre.lib ..\..\..\Build\pcre -"/> + Name="VCPostBuildEventTool"/> <Tool Name="VCPreBuildEventTool"/> <Tool @@ -133,10 +130,7 @@ InterfaceIdentifierFileName="$(InputName)_i.c" ProxyFileName="$(InputName)_p.c"/> <Tool - Name="VCPostBuildEventTool" - CommandLine="copy $(OutDir)\pcre.dll ..\..\..\Build\pcre -copy $(OutDir)\pcre.lib ..\..\..\Build\pcre -"/> + Name="VCPostBuildEventTool"/> <Tool Name="VCPreBuildEventTool"/> <Tool @@ -203,10 +197,7 @@ InterfaceIdentifierFileName="$(InputName)_i.c" ProxyFileName="$(InputName)_p.c"/> <Tool - Name="VCPostBuildEventTool" - CommandLine="copy $(OutDir)\pcre.dll ..\..\..\Build\pcre -copy $(OutDir)\pcre.lib ..\..\..\Build\pcre -"/> + Name="VCPostBuildEventTool"/> <Tool Name="VCPreBuildEventTool"/> <Tool @@ -275,10 +266,7 @@ InterfaceIdentifierFileName="$(InputName)_i.c" ProxyFileName="$(InputName)_p.c"/> <Tool - Name="VCPostBuildEventTool" - CommandLine="copy $(OutDir)\pcre.dll ..\..\..\Build\pcre -copy $(OutDir)\pcre.lib ..\..\..\Build\pcre -"/> + Name="VCPostBuildEventTool"/> <Tool Name="VCPreBuildEventTool"/> <Tool Modified: trunk/Externals/scew/win32/scew.vcproj =================================================================== --- trunk/Externals/scew/win32/scew.vcproj 2008-10-30 23:18:25 UTC (rev 6055) +++ trunk/Externals/scew/win32/scew.vcproj 2008-11-01 18:11:12 UTC (rev 6056) @@ -43,8 +43,7 @@ <Tool Name="VCMIDLTool"/> <Tool - Name="VCPostBuildEventTool" - CommandLine="copy ..\..\..\Build\scew\scew.lib ..\..\..\Build\expat\"/> + Name="VCPostBuildEventTool"/> <Tool Name="VCPreBuildEventTool"/> <Tool @@ -95,8 +94,7 @@ <Tool Name="VCMIDLTool"/> <Tool - Name="VCPostBuildEventTool" - CommandLine="copy ..\..\..\Build\scew\scew_s.lib ..\..\..\Build\expat\"/> + Name="VCPostBuildEventTool"/> <Tool Name="VCPreBuildEventTool"/> <Tool @@ -146,8 +144,7 @@ <Tool Name="VCMIDLTool"/> <Tool - Name="VCPostBuildEventTool" - CommandLine="copy ..\..\..\Build\scew\scew_sd.lib ..\..\..\Build\expat\"/> + Name="VCPostBuildEventTool"/> <Tool Name="VCPreBuildEventTool"/> <Tool @@ -197,8 +194,7 @@ <Tool Name="VCMIDLTool"/> <Tool - Name="VCPostBuildEventTool" - CommandLine="copy ..\..\..\Build\scew\scew_usd.lib ..\..\..\Build\expat\"/> + Name="VCPostBuildEventTool"/> <Tool Name="VCPreBuildEventTool"/> <Tool @@ -249,8 +245,7 @@ <Tool Name="VCMIDLTool"/> <Tool - Name="VCPostBuildEventTool" - CommandLine="copy ..\..\..\Build\scew\scew_u.lib ..\..\..\Build\expat\"/> + Name="VCPostBuildEventTool"/> <Tool Name="VCPreBuildEventTool"/> <Tool @@ -301,8 +296,7 @@ <Tool Name="VCMIDLTool"/> <Tool - Name="VCPostBuildEventTool" - CommandLine="copy ..\..\..\Build\scew\scew_us.lib ..\..\..\Build\expat\"/> + Name="VCPostBuildEventTool"/> <Tool Name="VCPreBuildEventTool"/> <Tool @@ -352,8 +346,7 @@ <Tool Name="VCMIDLTool"/> <Tool - Name="VCPostBuildEventTool" - CommandLine="copy ..\..\..\Build\scew\scew_ud.lib ..\..\..\Build\expat\"/> + Name="VCPostBuildEventTool"/> <Tool Name="VCPreBuildEventTool"/> <Tool @@ -403,8 +396,7 @@ <Tool Name="VCMIDLTool"/> <Tool - Name="VCPostBuildEventTool" - CommandLine="copy ..\..\..\Build\scew\scew_d.lib ..\..\..\Build\expat\"/> + Name="VCPostBuildEventTool"/> <Tool Name="VCPreBuildEventTool"/> <Tool Modified: trunk/Src/Merge.vcproj =================================================================== --- trunk/Src/Merge.vcproj 2008-10-30 23:18:25 UTC (rev 6055) +++ trunk/Src/Merge.vcproj 2008-11-01 18:11:12 UTC (rev 6056) @@ -50,7 +50,7 @@ OutputFile="..\Build\MergeUnicodeRelease\WinMergeU.exe" LinkIncremental="1" SuppressStartupBanner="TRUE" - AdditionalLibraryDirectories="..\Build\expat,..\Build\pcre" + AdditionalLibraryDirectories="..\Build\expat,..\Build\pcre,..\Build\scew" IgnoreDefaultLibraryNames="" GenerateDebugInformation="FALSE" ProgramDatabaseFile="" @@ -195,7 +195,7 @@ OutputFile="..\Build\MergeDebug/WinMerge.exe" LinkIncremental="1" SuppressStartupBanner="TRUE" - AdditionalLibraryDirectories="..\Build\expat,..\Build\pcre" + AdditionalLibraryDirectories="..\Build\expat,..\Build\pcre,..\Build\scew" GenerateDebugInformation="TRUE" ProgramDatabaseFile=".\..\BuildTmp\MergeDebug/WinMerge.pdb" SubSystem="2" @@ -269,7 +269,7 @@ OutputFile="..\Build\MergeRelease/WinMerge.exe" LinkIncremental="1" SuppressStartupBanner="TRUE" - AdditionalLibraryDirectories="..\Build\expat,..\Build\pcre" + AdditionalLibraryDirectories="..\Build\expat,..\Build\pcre,..\Build\scew" IgnoreDefaultLibraryNames="" GenerateDebugInformation="FALSE" ProgramDatabaseFile="" Modified: trunk/Src/PreLink.bat =================================================================== --- trunk/Src/PreLink.bat 2008-10-30 23:18:25 UTC (rev 6055) +++ trunk/Src/PreLink.bat 2008-11-01 18:11:12 UTC (rev 6056) @@ -68,7 +68,9 @@ %msdev% "expat.dsp" /make "expat - Win32 Debug" %devenv% "expat.vcproj" /build "Debug" cd %2\..\..\expat -copy lib\debug\libexpat.dll %2\..\ +copy lib\debug\libexpat.dll +copy lib\debug\libexpat.lib +copy libexpat.dll %2\..\ REM Build SCEW cd %2\..\..\..\Externals\scew\win32 @@ -80,6 +82,8 @@ %msdev% "pcre.dsp" /make "pcre - Win32 Debug" %devenv% "pcre.vcproj" /build "Debug" cd %2\..\..\pcre +copy Debug\pcre.dll +copy Debug\pcre.lib copy pcre.dll %2\..\ REM Build heksedit @@ -87,6 +91,7 @@ %msdev% "heksedit.dsp" /make "heksedit - Win32 Debug" %devenv% "heksedit.vcproj" /build "Debug" cd %2\..\..\heksedit\Debug +copy heksedit.dll ..\ copy heksedit.dll %2\..\ mkdir %2\..\heksedit.lng copy heksedit.lng\*.* %2\..\heksedit.lng @@ -101,7 +106,9 @@ %msdev% "expat.dsp" /make "expat - Win32 Release" %devenv% "expat.vcproj" /build "Release" cd %2\..\..\expat -copy lib\release\libexpat.dll %2\..\ +copy lib\release\libexpat.dll +copy lib\release\libexpat.lib +copy libexpat.dll %2\..\ REM Build SCEW @@ -109,11 +116,14 @@ %msdev% "scew.dsp" /make "scew - Win32 Release" %devenv% "scew.vcproj" /build "Release" + REM Build PCRE cd %2\..\..\..\Externals\pcre\Win32 %msdev% "pcre.dsp" /make "pcre - Win32 Release" %devenv% "pcre.vcproj" /build "MinSizeRel" cd %2\..\..\pcre +copy MinSizeRel\pcre.dll +copy MinSizeRel\pcre.lib copy pcre.dll %2\..\ REM Build heksedit @@ -121,6 +131,7 @@ %msdev% "heksedit.dsp" /make "heksedit - Win32 Release" %devenv% "heksedit.vcproj" /build "Release" cd %2\..\..\heksedit\Release +copy heksedit.dll ..\ copy heksedit.dll %2\..\ mkdir %2\..\heksedit.lng copy heksedit.lng\*.* %2\..\heksedit.lng This was sent by the SourceForge.net collaborative development platform, the world's largest Open Source development site. |
From: <ki...@us...> - 2008-11-03 19:22:25
|
Revision: 6057 http://winmerge.svn.sourceforge.net/winmerge/?rev=6057&view=rev Author: kimmov Date: 2008-11-03 16:51:56 +0000 (Mon, 03 Nov 2008) Log Message: ----------- PATCH: [ 2215167 ] An Swedish translation update of WinMerge... Submitted by Hans Eriksson Modified Paths: -------------- trunk/Docs/Users/ChangeLog.txt trunk/Src/Languages/Swedish.po Modified: trunk/Docs/Users/ChangeLog.txt =================================================================== --- trunk/Docs/Users/ChangeLog.txt 2008-11-01 18:11:12 UTC (rev 6056) +++ trunk/Docs/Users/ChangeLog.txt 2008-11-03 16:51:56 UTC (rev 6057) @@ -7,6 +7,7 @@ Update PCRE to version 7.8 (#2209911) Translation updates: - Czech (r6034) + - Swedish (#2215167) WinMerge 2.11.1.6 - 2008-10-23 (r6033) General compare status for files (not known if text/binary) (#2175736) Modified: trunk/Src/Languages/Swedish.po =================================================================== --- trunk/Src/Languages/Swedish.po 2008-11-01 18:11:12 UTC (rev 6056) +++ trunk/Src/Languages/Swedish.po 2008-11-03 16:51:56 UTC (rev 6057) @@ -2042,12 +2042,8 @@ #: Merge.rc:1277 #, c-format -msgid "" -"Detect codepage info for these files : .html, .rc, .xml \n" -"need to restart session" -msgstr "" -"Detektera Codepageinformation f\xF6r dessa filer : .html, .rc, .xml \n" -"Detta kr\xE4ver en omstart av WinMerge" +msgid "Detect codepage info for these files : .html, .rc, .xml \nneed to restart session" +msgstr "Detektera Codepageinformation f\xF6r dessa filer : .html, .rc, .xml \nDetta kr\xE4ver en omstart av WinMerge" #: Merge.rc:1280 #, c-format @@ -2076,12 +2072,8 @@ #: Merge.rc:1298 #, c-format -msgid "" -"Archive support requires 7-Zip 3.11 or later to be installed on your computer, or its\n" -"essential components to be copied to the program directory for standalone operation." -msgstr "" -"Arkivst\xF6d kr\xE4ver antingen att version 3.11 eller h\xF6gre av 7-Zip \xE4r installerat p\xE5 din dator, eller att dess\n" -"n\xF6dv\xE4ndiga komponenter kopieras till WinMerges programkatalog f\xF6r frist\xE5ende anv\xE4ndning." +msgid "Archive support requires 7-Zip 3.11 or later to be installed on your computer, or its\nessential components to be copied to the program directory for standalone operation." +msgstr "Arkivst\xF6d kr\xE4ver antingen att version 3.11 eller h\xF6gre av 7-Zip \xE4r installerat p\xE5 din dator, eller att dess\nn\xF6dv\xE4ndiga komponenter kopieras till WinMerges programkatalog f\xF6r frist\xE5ende anv\xE4ndning." #: Merge.rc:1300 #, c-format @@ -2090,12 +2082,8 @@ #: Merge.rc:1302 #, c-format -msgid "" -"Please use the version of 7-Zip recommended below until interface plugins for later\n" -"versions become available." -msgstr "" -"Var god och anv\xE4nd den version av 7-Zip som rekommenderas nedanf\xF6r tills gr\xE4nssnittsplugins f\xF6r senare\n" -"versioner blir tillg\xE4ngliga." +msgid "Please use the version of 7-Zip recommended below until interface plugins for later\nversions become available." +msgstr "Var god och anv\xE4nd den version av 7-Zip som rekommenderas nedanf\xF6r tills gr\xE4nssnittsplugins f\xF6r senare\nversioner blir tillg\xE4ngliga." #: Merge.rc:1304 #, c-format @@ -2104,7 +2092,7 @@ #: Merge.rc:1306 #, c-format -msgid "Plugin required\n"(dllbuild %04u)" +msgid "Plugin required\n(dllbuild %04u)" msgstr "Plugin kr\xE4vd\n(dllbuild %04u)" #: Merge.rc:1308 @@ -2156,12 +2144,8 @@ #: Merge.rc:1326 #, c-format -msgid "" -"Don't display this &message again.\n" -"(You can always recall this message from the Help menu.)" -msgstr "" -"Visa inte detta &meddelande igen.\n" -"(Du kan alltid f\xE5 tillbaka detta meddelande fr\xE5n Hj\xE4lp-menyn.)" +msgid "Don't display this &message again.\n(You can always recall this message from the Help menu.)" +msgstr "Visa inte detta &meddelande igen.\n(Du kan alltid f\xE5 tillbaka detta meddelande fr\xE5n Hj\xE4lp-menyn.)" #: Merge.rc:1330 #, c-format @@ -2657,84 +2641,48 @@ #: Merge.rc:1744 #, c-format -msgid "" -"Create empty documents\n" -"New Documents (Ctrl+N)" -msgstr "" -"Skapar nya dokument\n" -"Nya Dokument (Ctrl+N)" +msgid "Create empty documents\nNew Documents (Ctrl+N)" +msgstr "Skapar nya dokument\nNya Dokument (Ctrl+N)" #: Merge.rc:1745 #, c-format -msgid "" -"Open an existing document\n" -"Open (Ctrl+O)" -msgstr "" -"\xD6ppnar ett befintligt dokument\n" -"\xD6ppna (Ctrl+O)" +msgid "Open an existing document\nOpen (Ctrl+O)" +msgstr "\xD6ppnar ett befintligt dokument\n\xD6ppna (Ctrl+O)" #: Merge.rc:1746 #, c-format -msgid "" -"Close the active document\n" -"Close" -msgstr "" -"St\xE4nger det aktiva dokumentet\n" -"St\xE4ng" +msgid "Close the active document\nClose" +msgstr "St\xE4nger det aktiva dokumentet\nSt\xE4ng" #: Merge.rc:1747 #, c-format -msgid "" -"Save the active document\n" -"Save (Ctrl+S)" -msgstr "" -"Sparar det aktiva dokumentet\n" -"Spara (Ctrl+S)" +msgid "Save the active document\nSave (Ctrl+S)" +msgstr "Sparar det aktiva dokumentet\nSpara (Ctrl+S)" #: Merge.rc:1748 #, c-format -msgid "" -"Save the active document with a new name\n" -"Save As" -msgstr "" -"Sparar det aktiva dokumentet med ett nytt namn\n" -"Spara som" +msgid "Save the active document with a new name\nSave As" +msgstr "Sparar det aktiva dokumentet med ett nytt namn\nSpara som" #: Merge.rc:1749 #, c-format -msgid "" -"Change the printing options\n" -"Page Setup" -msgstr "" -"\xC4ndrar Utskriftsinst\xE4llningar\n" -"Sidinst\xE4llningar" +msgid "Change the printing options\nPage Setup" +msgstr "\xC4ndrar Utskriftsinst\xE4llningar\nSidinst\xE4llningar" #: Merge.rc:1750 #, c-format -msgid "" -"Change the printer and printing options\n" -"Print Setup" -msgstr "" -"\xC4ndrar skrivare och skrivarinst\xE4llningar\n" -"Skrivarinst\xE4llningar" +msgid "Change the printer and printing options\nPrint Setup" +msgstr "\xC4ndrar skrivare och skrivarinst\xE4llningar\nSkrivarinst\xE4llningar" #: Merge.rc:1751 #, c-format -msgid "" -"Print the active document\n" -"Print" -msgstr "" -"Skriver ut det aktiva dokumentet\n" -"Skriv ut" +msgid "Print the active document\nPrint" +msgstr "Skriver ut det aktiva dokumentet\nSkriv ut" #: Merge.rc:1752 #, c-format -msgid "" -"Display full pages\n" -"Print Preview" -msgstr "" -"Visar helsidor\n" -"F\xF6rhandsgranska" +msgid "Display full pages\nPrint Preview" +msgstr "Visar helsidor\nF\xF6rhandsgranska" #: Merge.rc:1757 #, c-format @@ -2758,12 +2706,8 @@ #: Merge.rc:1766 #, c-format -msgid "" -"Open project file\n" -"Project file (Ctrl+J)" -msgstr "" -"\xD6ppna Projektfil\n" -"Projektfil(Ctrl+J)" +msgid ""Open project file\nProject file (Ctrl+J)" +msgstr "\xD6ppna Projektfil\nProjektfil(Ctrl+J)" #: Merge.rc:1767 #, c-format @@ -2792,30 +2736,18 @@ #: Merge.rc:1772 #, c-format -msgid "" -"Save current paths and options to project file\n" -"Save Project File" -msgstr "" -"Sparar aktuella s\xF6kv\xE4gar och inst\xE4llningar till Projektfil\n" -"Spara Projektfil" +msgid "Save current paths and options to project file\nSave Project File" +msgstr "Sparar aktuella s\xF6kv\xE4gar och inst\xE4llningar till Projektfil\nSpara Projektfil" #: Merge.rc:1777 #, c-format -msgid "" -"Display program information, version number and copyright\n" -"About" -msgstr "" -"Visar Programinformation, Versionsnummer och Copyright\n" -"Om" +msgid "Display program information, version number and copyright\nAbout" +msgstr "Visar Programinformation, Versionsnummer och Copyright\nOm" #: Merge.rc:1778 #, c-format -msgid "" -"Quit the application; prompts to save documents\n" -"Exit" -msgstr "" -"Avslutar WinMerge och fr\xE5gar om du vill spara dokument\n" -"Avsluta" +msgid "Quit the application; prompts to save documents\nExit" +msgstr "Avslutar WinMerge och fr\xE5gar om du vill spara dokument\nAvsluta" #: Merge.rc:1784 #: Merge.rc:1785 @@ -2839,156 +2771,88 @@ #: Merge.rc:1804 #, c-format -msgid "" -"Switch to the next window pane\n" -"Next Pane" -msgstr "" -"V\xE4xlar till n\xE4sta f\xF6nster\n" -"N\xE4sta f\xF6nster" +msgid "Switch to the next window pane\nNext Pane" +msgstr "V\xE4xlar till n\xE4sta f\xF6nster\nN\xE4sta f\xF6nster" #: Merge.rc:1805 #, c-format -msgid "" -"Switch back to the previous window pane\n" -"Previous Pane" -msgstr "" -"V\xE4xlar till f\xF6rra f\xF6nstret\n" -"F\xF6rra f\xF6nstret" +msgid "Switch back to the previous window pane\nPrevious Pane" +msgstr "V\xE4xlar till f\xF6rra f\xF6nstret\nF\xF6rra f\xF6nstret" #: Merge.rc:1811 #, c-format -msgid "" -"Arrange windows so they overlap\n" -"Cascade Windows" -msgstr "" -"Arrangerar f\xF6nstren s\xE5 att de \xF6verlappar varandra\n" -"\xD6verlappande f\xF6nster" +msgid "Arrange windows so they overlap\nCascade Windows" +msgstr "Arrangerar f\xF6nstren s\xE5 att de \xF6verlappar varandra\n\xD6verlappande f\xF6nster" #: Merge.rc:1812 #, c-format -msgid "" -"Arrange windows as non-overlapping tiles horizontally\n" -"Tile Windows Horizontally" -msgstr "" -"Ordnar f\xF6nstren icke-\xF6verlappande horisontellt\n" -"Ordna horisontellt" +msgid "Arrange windows as non-overlapping tiles horizontally\nTile Windows Horizontally" +msgstr "Ordnar f\xF6nstren icke-\xF6verlappande horisontellt\nOrdna horisontellt" #: Merge.rc:1813 #, c-format -msgid "" -"Arrange windows as non-overlapping tiles vertically\n" -"Tile Windows Vertically" -msgstr "" -"Ordnar f\xF6nstren icke-\xF6verlappande vertikalt\n" -"Ordna vertikalt" +msgid "Arrange windows as non-overlapping tiles vertically\nTile Windows Vertically" +msgstr "Ordnar f\xF6nstren icke-\xF6verlappande vertikalt\nOrdna vertikalt" #: Merge.rc:1819 #, c-format -msgid "" -"Copy the selection and put it on the Clipboard\n" -"Copy" -msgstr "" -"Kopierar den markerade texten och l\xE4gger det i klippbordet\n" -"Kopiera" +msgid "Copy the selection and put it on the Clipboard\nCopy" +msgstr "Kopierar den markerade texten och l\xE4gger det i klippbordet\nKopiera" #: Merge.rc:1820 #, c-format -msgid "" -"Cut the selection and put it on the Clipboard\n" -"Cut" -msgstr "" -"Klipper ut den markerade texten och l\xE4gger det i klippbordet\n" -"Klipp ut" +msgid "Cut the selection and put it on the Clipboard\nCut" +msgstr "Klipper ut den markerade texten och l\xE4gger det i klippbordet\nKlipp ut" #: Merge.rc:1821 #, c-format -msgid "" -"Find the specified text\n" -"Find" -msgstr "" -"S\xF6ker den angivna texten\n" -"S\xF6k" +msgid "Find the specified text\nFind" +msgstr "S\xF6ker den angivna texten\nS\xF6k" #: Merge.rc:1822 #, c-format -msgid "" -"Insert Clipboard contents\n" -"Paste" -msgstr "" -"Klistrar in inneh\xE5llet i klippbordet\n" -"Klistra in" +msgid "Insert Clipboard contents\nPaste" +msgstr "Klistrar in inneh\xE5llet i klippbordet\nKlistra in" #: Merge.rc:1823 #, c-format -msgid "" -"Repeat the last action\n" -"Repeat" -msgstr "" -"Upprepar den senaste \xE5tg\xE4rden\n" -"Upprepa" +msgid "Repeat the last action\nRepeat" +msgstr "Upprepar den senaste \xE5tg\xE4rden\nUpprepa" #: Merge.rc:1824 #, c-format -msgid "" -"Replace specific text with different text\n" -"Replace" -msgstr "" -"Ers\xE4tter den markerade texten med annan text\n" -"Ers\xE4tt" +msgid "Replace specific text with different text\nReplace" +msgstr "Ers\xE4tter den markerade texten med annan text\nErs\xE4tt" #: Merge.rc:1825 #, c-format -msgid "" -"Select the entire document\n" -"Select All" -msgstr "" -"V\xE4ljer hela dokumentet\n" -"V\xE4lj allt" +msgid "Select the entire document\nSelect All" +msgstr "V\xE4ljer hela dokumentet\nV\xE4lj allt" #: Merge.rc:1826 #, c-format -msgid "" -"Undo the last action\n" -"Undo (Ctrl+Z)" -msgstr "" -"\xC5ngrar den senaste \xE5tg\xE4rden\n" -"\xC5ngra" +msgid "Undo the last action\nUndo (Ctrl+Z)" +msgstr "\xC5ngrar den senaste \xE5tg\xE4rden\n\xC5ngra" #: Merge.rc:1827 #, c-format -msgid "" -"Redo the previously undone action\n" -"Redo (Ctrl+Y)" -msgstr "" -"G\xF6r om den tidigare \xE5ngrade \xE5tg\xE4rden\n" -"G\xF6r om" +msgid "Redo the previously undone action\nRedo (Ctrl+Y)" +msgstr "G\xF6r om den tidigare \xE5ngrade \xE5tg\xE4rden\nG\xF6r om (Ctrl+Y)" #: Merge.rc:1833 #, c-format -msgid "" -"Show or hide the toolbar\n" -"Toggle ToolBar" -msgstr "" -"Visar eller g\xF6mmer verktygsf\xE4ltet\n" -"V\xE4xla verktygsf\xE4lt" +msgid "Show or hide the toolbar\nToggle ToolBar" +msgstr "Visar eller g\xF6mmer verktygsf\xE4ltet\nV\xE4xla verktygsf\xE4lt" #: Merge.rc:1834 #, c-format -msgid "" -"Show or hide the status bar\n" -"Toggle StatusBar" -msgstr "" -"Visar eller g\xF6mmer statusf\xE4ltet\n" -"V\xE4xla statusf\xE4ltet" +msgid "Show or hide the status bar\nToggle StatusBar" +msgstr "Visar eller g\xF6mmer statusf\xE4ltet\nV\xE4xla statusf\xE4ltet" #: Merge.rc:1835 #, c-format -msgid "" -"Show or hide the tab bar\n" -"Toggle TabBar" -msgstr "" -"Visar eller g\xF6mmer filraden\n" -"V\xE4xla filraden" +msgid "Show or hide the tab bar\nToggle TabBar" +msgstr "Visar eller g\xF6mmer filraden\nV\xE4xla filraden" #: Merge.rc:1836 #, c-format @@ -3047,12 +2911,8 @@ #: Merge.rc:1861 #, c-format -msgid "" -"Close print preview mode\n" -"Cancel Preview" -msgstr "" -"St\xE4nger F\xF6rhandsgranskning\n" -"St\xE4ng F\xF6rhandsgranskning" +msgid "Close print preview mode\nCancel Preview" +msgstr "St\xE4nger F\xF6rhandsgranskning\nSt\xE4ng F\xF6rhandsgranskning" #: Merge.rc:1868 #, c-format @@ -3082,12 +2942,8 @@ #: Merge.rc:1896 #, c-format -msgid "" -"Developers:\n" -"Dean Grimm, Christian List, Kimmo Varis, Jochen Tucht, Tim Gerundt, Takashi Sawanaki, Gal Hammer, Alexander Skinner" -msgstr "" -"Utvecklare:\n" -"Dean Grimm, Christian List, Kimmo Varis, Jochen Tucht, Tim Gerundt, Takashi Sawanaki, Gal Hammer, Alexander Skinner" +msgid "Developers:\nDean Grimm, Christian List, Kimmo Varis, Jochen Tucht, Tim Gerundt, Takashi Sawanaki, Gal Hammer, Alexander Skinner" +msgstr "Utvecklare:\nDean Grimm, Christian List, Kimmo Varis, Jochen Tucht, Tim Gerundt, Takashi Sawanaki, Gal Hammer, Alexander Skinner" #: Merge.rc:1897 #, c-format @@ -3236,25 +3092,13 @@ #: Merge.rc:1968 #, c-format -msgid "" -"Value in Tab size -field is not in range WinMerge accepts.\n" -"\n" -"Please use values 1 - %1." -msgstr "" -"V\xE4rdet i Tabbstorleks-f\xE4ltet \xE4r inte inom vad WinMerge accepterar.\n" -"\n" -"Var god och anv\xE4nd v\xE4rden fr\xE5n 1 - %1." +msgid "Value in Tab size -field is not in range WinMerge accepts.\n\nPlease use values 1 - %1." +msgstr "V\xE4rdet i Tabbstorleks-f\xE4ltet \xE4r inte inom vad WinMerge accepterar.\n\nVar god och anv\xE4nd v\xE4rden fr\xE5n 1 - %1." #: Merge.rc:1970 #, c-format -msgid "" -"Are you sure you want to reset all WinMerge options to default values?\n" -"\n" -"Please re-start WinMerge after the reset." -msgstr "" -"\xC4r du s\xE4ker att du vill \xE5terst\xE4lla alla WinMerges inst\xE4llningar till standardv\xE4rden?\n" -"\n" -"Var god och \xE5terstarta WinMerge efter \xE5terst\xE4llningen." +msgid "Are you sure you want to reset all WinMerge options to default values?\n\nPlease re-start WinMerge after the reset." +msgstr "\xC4r du s\xE4ker att du vill \xE5terst\xE4lla alla WinMerges inst\xE4llningar till standardv\xE4rden?\n\nVar god och \xE5terstarta WinMerge efter \xE5terst\xE4llningen." #: Merge.rc:1976 #, c-format @@ -3380,53 +3224,23 @@ #: Merge.rc:2022 #, c-format -msgid "" -"Cannot find file filter template file!\n" -"\n" -"Please copy file %1 to WinMerge/Filters -folder:\n" -"%2." -msgstr "" -"Kan inte hitta filfilterfilen!\n" -"\n" -"Var god och kopiera filen %1 till WinMerges Filterkatalog:\n" -"%2." +msgid "Cannot find file filter template file!\n\nPlease copy file %1 to WinMerge/Filters -folder:\n%2." +msgstr "Kan inte hitta filfilterfilen!\n\nVar god och kopiera filen %1 till WinMerges Filterkatalog:\n%2." #: Merge.rc:2024 #, c-format -msgid "" -"Cannot copy filter template file to filter folder:\n" -"%1\n" -"\n" -"Please make sure the folder exists and is writable." -msgstr "" -"Kan inte kopiera filterfilen till filterkatalogen:\n" -"%1\n" -"\n" -"Var god och verifiera att katalogen finns och att den \xE4r skrivbar." +msgid "Cannot copy filter template file to filter folder:\n%1\n\nPlease make sure the folder exists and is writable." +msgstr "Kan inte kopiera filterfilen till filterkatalogen:\n%1\n\nVar god och verifiera att katalogen finns och att den \xE4r skrivbar." #: Merge.rc:2026 #, c-format -msgid "" -"User's filter file folder is not defined!\n" -"\n" -"Please select filter folder in Options/System." -msgstr "" -"Anv\xE4ndarens filterfilkatalog \xE4r inte definierad!\n" -"\n" -"Var god och v\xE4lj filterkatalog i Inst\xE4llningar/System." +msgid "User's filter file folder is not defined!\n\nPlease select filter folder in Options/System." +msgstr "Anv\xE4ndarens filterfilkatalog \xE4r inte definierad!\n\nVar god och v\xE4lj filterkatalog i Inst\xE4llningar/System." #: Merge.rc:2028 #, c-format -msgid "" -"Failed to delete the filter file:\n" -"%1\n" -"\n" -"Maybe the file is read-only?" -msgstr "" -"Kan inte ta bort filterfilen:\n" -"%1\n" -"\n" -"Kanske \xE4r filen bara l\xE4sbar?" +msgid "Failed to delete the filter file:\n%1\n\nMaybe the file is read-only?" +msgstr "Kan inte ta bort filterfilen:\n%1\n\nKanske \xE4r filen bara l\xE4sbar?" #: Merge.rc:2029 #, c-format @@ -3435,14 +3249,8 @@ #: Merge.rc:2031 #, c-format -msgid "" -"Installing filter file failed.\n" -"\n" -"Could not copy new filter file to filter folder." -msgstr "" -"Installation av filterfilen misslyckades.\n" -"\n" -"Kunde inte kopiera ny filterfil till filterkatalogen." +msgid "Installing filter file failed.\n\nCould not copy new filter file to filter folder." +msgstr "Installation av filterfilen misslyckades.\n\nKunde inte kopiera ny filterfil till filterkatalogen." #: Merge.rc:2033 #, c-format @@ -3456,20 +3264,9 @@ #: Merge.rc:2045 #, c-format -msgid "" -"Filters were updated. Do you want to refresh all open folder compares?\n" -"\n" -"If you do not want to refresh all compares now you can select No and refresh compares later." -msgstr "" -"Filtren \xE4r uppdaterade Vill du uppdatera alla \xF6ppna katalogj\xE4mf\xF6relser?\n" -"\n" -"Om du inte vill uppdatera alla j\xE4mf\xF6relser nu kan du v\xE4lja Nej och uppdatera j\xE4mf\xF6relser senare." +msgid "Filters were updated. Do you want to refresh all open folder compares?\n\nIf you do not want to refresh all compares now you can select No and refresh compares later." +msgstr "Filtren \xE4r uppdaterade Vill du uppdatera alla \xF6ppna katalogj\xE4mf\xF6relser?\n\nOm du inte vill uppdatera alla j\xE4mf\xF6relser nu kan du v\xE4lja Nej och uppdatera j\xE4mf\xF6relser senare." -#: Merge.rc:2051 -#, c-format -msgid "&Path to cleartool.exe :" -msgstr "&S\xF6kv\xE4g till Cleartool.exe:" - #: Merge.rc:2052 #, c-format msgid "&Path to SS.EXE :" @@ -3668,16 +3465,8 @@ #: Merge.rc:2121 #, c-format -msgid "" -"Cannot open file\n" -"%1\n" -"\n" -"%2" -msgstr "" -"Kan inte \xF6ppna fil\n" -"%1\n" -"\n" -"%2" +msgid "Cannot open file\n%1\n\n%2" +msgstr "Kan inte \xF6ppna fil\n%1\n\n%2" #: Merge.rc:2122 #, c-format @@ -3716,102 +3505,38 @@ #: Merge.rc:2135 #, c-format -msgid "" -"Unable to backup original file:\n" -"%1\n" -"\n" -"Continue anyway?" -msgstr "" -"Kan inte ta backup p\xE5 originalfilen:\n" -"%1\n" -"\n" -"Vill du forts\xE4tta i alla fall?" +msgid "Unable to backup original file:\n%1\n\nContinue anyway?" +msgstr "Kan inte ta backup p\xE5 originalfilen:\n%1\n\nVill du forts\xE4tta i alla fall?" #: Merge.rc:2136 #, c-format -msgid "" -"Saving file failed.\n" -"%1\n" -"%2\n" -"Do you want to:\n" -"\t-use a different filename (Press Ok)\n" -"\t-abort the current operation (Press Cancel)?" -msgstr "" -"Det gick inte att spara filen.\n" -"%1\n" -"%2\n" -"Vill du:\n" -"\t-anv\xE4nda ett annat filnamn (Tryck Ok)\n" -"\t-avbryta sparande av fil (Tryck Avbryt)?" +msgid "Saving file failed.\n%1\n%2\nDo you want to:\n\t-use a different filename (Press Ok)\n\t-abort the current operation (Press Cancel)?" +msgstr "Det gick inte att spara filen.\n%1\n%2\nVill du:\n\t-anv\xE4nda ett annat filnamn (Tryck Ok)\n\t-avbryta sparande av fil (Tryck Avbryt)?" #: Merge.rc:2137 #, c-format -msgid "" -"Plugin '%2' cannot pack your changes to the left file back into '%1'.\n" -"\n" -"The original file will not be changed.\n" -"\n" -"Do you want to save the unpacked version to another file?" -msgstr "" -"Plugin '%2' kan inte packa dina f\xF6r\xE4ndringar av den v\xE4nstra filen tillbaka till '%1'.\n" -"\n" -"Originalfilen blir inte \xE4ndrad.\n" -"\n" -"Vill du spara den uppackade versionen till en annan fil?" +msgid "Plugin '%2' cannot pack your changes to the left file back into '%1'.\n\nThe original file will not be changed.\n\nDo you want to save the unpacked version to another file?" +msgstr "Plugin '%2' kan inte packa dina f\xF6r\xE4ndringar av den v\xE4nstra filen tillbaka till '%1'.\n\nOriginalfilen blir inte \xE4ndrad.\n\nVill du spara den uppackade versionen till en annan fil?" #: Merge.rc:2138 #, c-format -msgid "" -"Plugin '%2' cannot pack your changes to the right file back into '%1'.\n" -"\n" -"The original file will not be changed.\n" -"\n" -"Do you want to save the unpacked version to another file?" -msgstr "" -"Plugin '%2' kan inte packa dina f\xF6r\xE4ndringar av den h\xF6gra filen tillbaka till '%1'.\n" -"\n" -"Originalfilen blir inte \xE4ndrad.\n" -"\n" -"Vill du spara den uppackade versionen till en annan fil?" +msgid "Plugin '%2' cannot pack your changes to the right file back into '%1'.\n\nThe original file will not be changed.\n\nDo you want to save the unpacked version to another file?" +msgstr "Plugin '%2' kan inte packa dina f\xF6r\xE4ndringar av den h\xF6gra filen tillbaka till '%1'.\n\nOriginalfilen blir inte \xE4ndrad.\n\nVill du spara den uppackade versionen till en annan fil?" #: Merge.rc:2139 #, c-format -msgid "" -"Another application has updated file\n" -"%1\n" -"since WinMerge loaded it.\n" -"\n" -"Overwrite changed file?" -msgstr "" -"En annan applikation har uppdaterat filen\n" -"%1\n" -"sedan WinMerge har \xF6ppnat den.\n" -"\n" -"Vill du skriva \xF6ver den f\xF6r\xE4ndrade filen?" +msgid "Another application has updated file\n%1\nsince WinMerge loaded it.\n\nOverwrite changed file?" +msgstr "En annan applikation har uppdaterat filen\n%1\nsedan WinMerge har \xF6ppnat den.\n\nVill du skriva \xF6ver den f\xF6r\xE4ndrade filen?" #: Merge.rc:2140 #, c-format -msgid "" -"%1\n" -"is marked read-only. Would you like to override the read-only item?" -msgstr "" -"%1\n" -"\xE4r markerad bara l\xE4sbar. Vill du \xE4nd\xE5 \xE4ndra i den?" +msgid "%1\nis marked read-only. Would you like to override the read-only item?" +msgstr "%1\n\xE4r markerad bara l\xE4sbar. Vill du \xE4nd\xE5 \xE4ndra i den?" #: Merge.rc:2141 #, c-format -msgid "" -"Another application has updated file\n" -"%1\n" -"since WinMerge scanned it last time.\n" -"\n" -"If you want to refresh files close current file compare and load files again." -msgstr "" -"Ett annat program har uppdaterat filen\n" -"%1\n" -"sedan WinMerge unders\xF6kte den sist.\n" -"\n" -"Om du vill uppdatera filer st\xE4ng aktuell filj\xE4mf\xF6relse och \xF6ppna filer igen." +msgid "Another application has updated file\n%1\nsince WinMerge scanned it last time.\n\nIf you want to refresh files close current file compare and load files again." +msgstr "Ett annat program har uppdaterat filen\n%1\nsedan WinMerge unders\xF6kte den sist.\n\nOm du vill uppdatera filer st\xE4ng aktuell filj\xE4mf\xF6relse och \xF6ppna filer igen." #: Merge.rc:2142 #, c-format @@ -3825,14 +3550,8 @@ #: Merge.rc:2149 #, c-format -msgid "" -"Cannot merge differences when documents are not in synch.\n" -"\n" -"Refresh documents before continuing." -msgstr "" -"Kan inte l\xE4gga samman skillnader n\xE4r filerna inte \xE4r synkroniserade.\n" -"\n" -"Uppdatera filerna innan du forts\xE4tter." +msgid "Cannot merge differences when documents are not in synch.\n\nRefresh documents before continuing." +msgstr "Kan inte l\xE4gga samman skillnader n\xE4r filerna inte \xE4r synkroniserade.\n\nUppdatera filerna innan du forts\xE4tter." #: Merge.rc:2155 #, c-format @@ -3871,23 +3590,13 @@ #: Merge.rc:2162 #, c-format -msgid "" -"Versioning System returned an error while attempting to check in the file.\n" -" Please, check config spec of used view.\n" -" Undo checkout operation?" -msgstr "" -"Versionshanteringssystemet (VSS) returnerade ett fel under f\xF6rs\xF6ket att checka in filen.\n" -" Var god och, kontrollera konfigurationsspecifikation p\xE5 anv\xE4nd markering.\n" -" \xC5ngra checka ut \xE5tg\xE4rd?" +msgid "Versioning System returned an error while attempting to check in the file.\n Please, check config spec of used view.\n Undo checkout operation?" +msgstr "Versionshanteringssystemet (VSS) returnerade ett fel under f\xF6rs\xF6ket att checka in filen.\n Var god och, kontrollera konfigurationsspecifikation p\xE5 anv\xE4nd markering.\n \xC5ngra checka ut \xE5tg\xE4rd?" #: Merge.rc:2163 #, c-format -msgid "" -"Versioning System returned an error while attempting to undo checkout the file.\n" -" Please, check config spec of used view. " -msgstr "" -"Versionshanteringssystemet (VSS) returnerade ett fel under f\xF6rs\xF6ket att \xE5ngra checka ut av filen.\n" -" Var god och, kontrollera konfigurationsspecifikation p\xE5 anv\xE4nd markering. " +msgid "Versioning System returned an error while attempting to undo checkout the file.\n Please, check config spec of used view. " +msgstr "Versionshanteringssystemet (VSS) returnerade ett fel under f\xF6rs\xF6ket att \xE5ngra checka ut av filen.\n Var god och, kontrollera konfigurationsspecifikation p\xE5 anv\xE4nd markering. " #: Merge.rc:2169 #: Merge.rc:2609 @@ -4053,14 +3762,8 @@ #: Merge.rc:2222 #, c-format -msgid "" -"Are you sure you want to delete\n" -"\n" -"%1 ?" -msgstr "" -"\xC4r du s\xE4ker p\xE5 du att vill ta bort\n" -"\n" -"%1 ?" +msgid "Are you sure you want to delete\n\n%1 ?" +msgstr "\xC4r du s\xE4ker p\xE5 du att vill ta bort\n\n%1\n?" #: Merge.rc:2223 #, c-format @@ -4074,22 +3777,8 @@ #: Merge.rc:2225 #, c-format -msgid "" -"Operation aborted!\n" -"\n" -"Folder contents at disks has changed, path\n" -"%1\n" -"was not found.\n" -"\n" -"Please refresh the compare." -msgstr "" -"Operation avbruten!\n" -"\n" -"Kataloginneh\xE5llet p\xE5 diskar har \xE4ndrats, s\xF6kv\xE4gen\n" -"%1\n" -"hittades inte.\n" -"\n" -"Var god och uppdatera j\xE4mf\xF6relsen." +msgid "Operation aborted!\n\nFolder contents at disks has changed, path\n%1\nwas not found.\n\nPlease refresh the compare." +msgstr "Operation avbruten!\n\nKataloginneh\xE5llet p\xE5 diskar har \xE4ndrats, s\xF6kv\xE4gen\n%1\nhittades inte.\n\nVar god och uppdatera j\xE4mf\xF6relsen." #: Merge.rc:2226 #, c-format @@ -4392,8 +4081,18 @@ msgid "Error" msgstr "Fel" +#: Merge.rc:2321 +#, c-format +msgid "Text files are identical" +msgstr "Textfilerna \xE4r identiska" + #: Merge.rc:2326 #, c-format +msgid "Text files are different" +msgstr "Textfilerna \xE4r olika" + +#: Merge.rc:2332 +#, c-format msgid "Elapsed time: %ld ms" msgstr "F\xF6rfluten tid: %ld ms" @@ -4564,12 +4263,8 @@ #: Merge.rc:2381 #, c-format -msgid "" -"Error creating the report:\n" -"%1" -msgstr "" -"Fel vid skapande av rapport:\n" -"%1" +msgid "Error creating the report:\n%1" +msgstr "Fel vid skapande av rapport:\n%1" #: Merge.rc:2382 #, c-format @@ -4598,29 +4293,13 @@ #: Merge.rc:2392 #, c-format -msgid "" -"These files use different carriage return types.\n" -"\n" -"Do you want to treat all carriage return types as equivalent for this comparison?\n" -"\n" -"Note: If you always want to treat all carriage return types as equivalent, set the option 'Ignore carriage return differences..' in the Compare tab of the options dialog (available under Edit/Options)." -msgstr "" -"Dessa filer har olika radslutstyper.\n" -"\n" -"Vill du betrakta alla radslutstyper som likv\xE4rdiga f\xF6r denna j\xE4mf\xF6relse?\n" -"\n" -"OBS: Om du alltid vill betrakta alla radslutstyper som likv\xE4rdiga, markera alternativet 'Ignorera skillnader i radslut (DOS,UNIX,MAC)' i J\xE4mf\xF6r-fliken i Inst\xE4llningar-dialogen (finns under Redigera/Inst\xE4llningar)." +msgid "These files use different carriage return types.\n\nDo you want to treat all carriage return types as equivalent for this comparison?\n\nNote: If you always want to treat all carriage return types as equivalent, set the option 'Ignore carriage return differences..' in the Compare tab of the options dialog (available under Edit/Options)." +msgstr "Dessa filer har olika radslutstyper.\n\nVill du betrakta alla radslutstyper som likv\xE4rdiga f\xF6r denna j\xE4mf\xF6relse?\n\nOBS: Om du alltid vill betrakta alla radslutstyper som likv\xE4rdiga, markera alternativet 'Ignorera skillnader i radslut (WINDOWS,UNIX,MAC)' i J\xE4mf\xF6r-fliken i Inst\xE4llningar-dialogen (finns under Redigera/Inst\xE4llningar)." #: Merge.rc:2393 #, c-format -msgid "" -"The EOL are of different types (Windows/Unix/Mac) in the file '%1'.\n" -"With the current options, they will be unified to the main type.\n" -"Do you want to change the options and preserve the different EOL ?" -msgstr "" -"Radsluten \xE4r av olika typer (Windows/Unix/Mac) i filen '%1'.\n" -"Med de aktuella inst\xE4llningarna, kommer de att \xE4ndras till den vanligaste typen.\n" -"Vill du \xE4ndra i inst\xE4llningarna och bevara de olika radsluten ?" +msgid "The EOL are of different types (Windows/Unix/Mac) in the file '%1'.\n With the current options, they will be unified to the main type.\nDo you want to change the options and preserve the different EOL ?" +msgstr "Radsluten \xE4r av olika typer (Windows/Unix/Mac) i filen '%1'.\nMed de aktuella inst\xE4llningarna, kommer de att \xE4ndras till den vanligaste typen.\nVill du \xE4ndra i inst\xE4llningarna och bevara de olika radsluten ?" #: Merge.rc:2394 #, c-format @@ -4644,14 +4323,8 @@ #: Merge.rc:2403 #, c-format -msgid "" -"Different codepages found in left (cp%d) and right (cp%d) files. \n" -"Displaying each file in its codepage will give a better display but merging/copying will be dangerous.\n" -"Would you like to treat both files as being in the default windows codepage (recommended)?" -msgstr "" -"V\xE4nster (cp%d) och h\xF6ger (cp%d) fil har inte samma Codepage. \n" -"Att visa varje fil i dess egen Codepage ger en b\xE4ttre visning men sammanfogning/kopierande blir farligt.\n" -"Vi f\xF6resl\xE5r att du gl\xF6mmer Codepage informationen. \xD6nskar du detta ?" +msgid "Different codepages found in left (cp%d) and right (cp%d) files. \nDisplaying each file in its codepage will give a better display but merging/copying will be dangerous.\nWould you like to treat both files as being in the default windows codepage (recommended)?" +msgstr "V\xE4nster (cp%d) och h\xF6ger (cp%d) fil har inte samma Codepage. \nAtt visa varje fil i dess egen Codepage ger en b\xE4ttre visning men sammanfogning/kopierande blir farligt.\nVi f\xF6resl\xE5r att du gl\xF6mmer Codepage informationen. \xD6nskar du detta ?" #: Merge.rc:2404 #, c-format @@ -4780,14 +4453,8 @@ #: Merge.rc:2454 #, c-format -msgid "" -"Please save all files first.\n" -"\n" -"Creating a patch requires that there are no unsaved changes in files." -msgstr "" -"Var god och spara ALLA filer f\xF6rst.\n" -"\n" -"Att skapa en patch kr\xE4ver att det inte finns n\xE5gra osparade f\xF6r\xE4ndringar i filerna." +msgid "Please save all files first.\n\nCreating a patch requires that there are no unsaved changes in files." +msgstr "Var god och spara ALLA filer f\xF6rst.\n\nAtt skapa en patch kr\xE4ver att det inte finns n\xE5gra osparade f\xF6r\xE4ndringar i filerna." #: Merge.rc:2455 #, c-format @@ -4801,32 +4468,18 @@ #: Merge.rc:2461 #, c-format -msgid "" -"Archive support is not enabled.\n" -"All needed components (7-zip and/or Merge7z*.dll) for archive support cannot be found.\n" -"See manual for more info about archive support and how to enable it." -msgstr "" -"Arkivst\xF6d \xE4r inte startat.\n" -"Alla kr\xE4vda komponenter (7-zip och/eller Merge7z*.dll) f\xF6r arkivst\xF6d kan inte hittas.\n" -"Se manualen f\xF6r mer information om arkivst\xF6d och hur man startar det." +msgid "Archive support is not enabled.\nAll needed components (7-zip and/or Merge7z*.dll) for archive support cannot be found.\nSee manual for more info about archive support and how to enable it." +msgstr "Arkivst\xF6d \xE4r inte startat.\nAlla kr\xE4vda komponenter (7-zip och/eller Merge7z*.dll) f\xF6r arkivst\xF6d kan inte hittas.\nSe manualen f\xF6r mer information om arkivst\xF6d och hur man startar det." #: Merge.rc:2462 #, c-format -msgid "" -"\n" -"Note: 7-Zip integration is disabled in WinMerge settings." -msgstr "" -"\n" -"Notera: 7-Zip integration \xE4r avst\xE4ngd i WinMerges inst\xE4llningar." +msgid "\nNote: 7-Zip integration is disabled in WinMerge settings." +msgstr "\nNotera: 7-Zip integration \xE4r avst\xE4ngd i WinMerges inst\xE4llningar." #: Merge.rc:2463 #, c-format -msgid "" -"\n" -"Note: 7-Zip integration is restricted to standalone operation in WinMerge settings." -msgstr "" -"\n" -"Notera: 7-Zip integration \xE4r begr\xE4nsad till frist\xE5ende anv\xE4ndning i WinMerges inst\xE4llningar." +msgid "\nNote: 7-Zip integration is restricted to standalone operation in WinMerge settings." +msgstr "\nNotera: 7-Zip integration \xE4r begr\xE4nsad till frist\xE5ende anv\xE4ndning i WinMerges inst\xE4llningar." #: Merge.rc:2464 #, c-format @@ -4860,14 +4513,8 @@ #: Merge.rc:2470 #, c-format -msgid "" -"You are about to close several compare windows.\n" -"\n" -"Do you want to continue?" -msgstr "" -"Du \xE4r p\xE5 v\xE4g att st\xE4nga flera j\xE4mf\xF6rf\xF6nster.\n" -"\n" -"Vill du g\xF6ra detta?" +msgid "You are about to close several compare windows.\n\nDo you want to continue?" +msgstr "Du \xE4r p\xE5 v\xE4g att st\xE4nga flera j\xE4mf\xF6rf\xF6nster.\n\nVill du g\xF6ra detta?" #: Merge.rc:2476 #, c-format @@ -5357,12 +5004,8 @@ #: Merge.rc:2647 #, c-format -msgid "" -"View and adjust file encoding properties\n" -"File encoding properties" -msgstr "" -"Visar och \xE4ndrar filkodningsegenskaper\n" -"Filkodningsegenskaper" +msgid "View and adjust file encoding properties\nFile encoding properties" +msgstr "Visar och \xE4ndrar filkodningsegenskaper\nFilkodningsegenskaper" #: Merge.rc:2648 #, c-format @@ -5371,39 +5014,23 @@ #: Merge.rc:2654 #, c-format -msgid "" -"Select difference area in the current line\n" -"Difference in the Current Line" -msgstr "" -"Markerar skillnad p\xE5 aktuell rad\n" -"Skillnad p\xE5 aktuell rad" +msgid "Select difference area in the current line\nDifference in the Current Line" +msgstr "Markerar skillnad p\xE5 aktuell rad\nSkillnad p\xE5 aktuell rad" #: Merge.rc:2655 #, c-format -msgid "" -"Go to line or difference\n" -"Go to (Ctrl+G)" -msgstr "" -"G\xE5r till rad eller skillnad\n" -"G\xE5 till (Ctrl+G)" +msgid "Go to line or difference\nGo to (Ctrl+G)" +msgstr "G\xE5r till rad eller skillnad\nG\xE5 till (Ctrl+G)" #: Merge.rc:2656 #, c-format -msgid "" -"Set program options\n" -"Options" -msgstr "" -"\xC4ndrar programinst\xE4llningar\n" -"Inst\xE4llningar" +msgid "Set program options\nOptions" +msgstr "\xC4ndrar programinst\xE4llningar\nInst\xE4llningar" #: Merge.rc:2657 #, c-format -msgid "" -"Refresh display\n" -"Refresh (F5)" -msgstr "" -"Uppdaterar inneh\xE5llet\n" -"Uppdatera (F5)" +msgid "Refresh display\nRefresh (F5)" +msgstr "Uppdaterar inneh\xE5llet\nUppdatera (F5)" #: Merge.rc:2658 #, c-format @@ -5437,75 +5064,43 @@ #: Merge.rc:2669 #, c-format -msgid "" -"Displays items that are exactly the same\n" -"Show Identical Items" -msgstr "" -"Visar objekt som \xE4r exakt lika\n" -"Visa identiska objekt" +msgid "Displays items that are exactly the same\nShow Identical Items" +msgstr "Visar objekt som \xE4r exakt lika\nVisa identiska objekt" #: Merge.rc:2670 #, c-format -msgid "" -"Displays items that have differences\n" -"Show Different Items" -msgstr "" -"Visar objekt som har skillnader\n" -"Visa skillnader i objekt" +msgid "Displays items that have differences\nShow Different Items" +msgstr "Visar objekt som har skillnader\nVisa skillnader i objekt" #: Merge.rc:2671 #, c-format -msgid "" -"Displays items that exist in only on the left side\n" -"Show Left Unique Items" -msgstr "" -"Visar objekt som bara finns p\xE5 v\xE4nster sida\n" -"Visa v\xE4nsterunika objekt" +msgid "Displays items that exist in only on the left side\nShow Left Unique Items" +msgstr "Visar objekt som bara finns p\xE5 v\xE4nster sida\nVisa v\xE4nsterunika objekt" #: Merge.rc:2672 #, c-format -msgid "" -"Displays items that exist in only on the right side\n" -"Show Right Unique Items" -msgstr "" -"Visar objekt som bara finns p\xE5 h\xF6ger sida\n" -"Visa h\xF6gerunika objekt" +msgid "Displays items that exist in only on the right side\nShow Right Unique Items" +msgstr "Visar objekt som bara finns p\xE5 h\xF6ger sida\nVisa h\xF6gerunika objekt" #: Merge.rc:2673 #, c-format -msgid "" -"Displays binary files\n" -"Show Binary Files" -msgstr "" -"Visar bin\xE4ra filer\n" -"Visa bin\xE4ra filer" +msgid "Displays binary files\nShow Binary Files" +msgstr "Visar bin\xE4ra filer\nVisa bin\xE4ra filer" #: Merge.rc:2674 #, c-format -msgid "" -"Displays skipped items\n" -"Show Skipped Items" -msgstr "" -"Visar \xF6verhoppade objekt\n" -"Visa \xF6verhoppade objekt" +msgid "Displays skipped items\nShow Skipped Items" +msgstr "Visar \xF6verhoppade objekt\nVisa \xF6verhoppade objekt" #: Merge.rc:2680 #, c-format -msgid "" -"Select the font for the view\n" -"Select View Font" -msgstr "" -"V\xE4ljer typsnitt f\xF6r f\xF6nstren\n" -"V\xE4lj typsnitt" +msgid "Select the font for the view\nSelect View Font" +msgstr "V\xE4ljer typsnitt f\xF6r f\xF6nstren\nV\xE4lj typsnitt" #: Merge.rc:2681 #, c-format -msgid "" -"Revert to using the default system font for view\n" -"Default Font" -msgstr "" -"V\xE4ljer standardtypsnitt f\xF6r f\xF6nstren\n" -"V\xE4lj standardtypsnitt" +msgid "Revert to using the default system font for view\nDefault Font" +msgstr "V\xE4ljer standardtypsnitt f\xF6r f\xF6nstren\nV\xE4lj standardtypsnitt" #: Merge.rc:2682 #, c-format @@ -5529,21 +5124,13 @@ #: Merge.rc:2686 #, c-format -msgid "" -"Select the current user interface language\n" -"Language" -msgstr "" -"V\xE4ljer spr\xE5k f\xF6r anv\xE4ndargr\xE4nssnittet\n" -"Spr\xE5k" +msgid "Select the current user interface language\nLanguage" +msgstr "V\xE4ljer spr\xE5k f\xF6r anv\xE4ndargr\xE4nssnittet\nSpr\xE5k" #: Merge.rc:2687 #, c-format -msgid "" -"Shows differences inside lines with different colors\n" -"Show Line Difference" -msgstr "" -"Visar skillnader inom rader med olika f\xE4rg\n" -"Visa radskillnad" +msgid "Shows differences inside lines with different colors\nShow Line Difference" +msgstr "Visar skillnader inom rader med olika f\xE4rg\nVisa radskillnad" #: Merge.rc:2688 #, c-format @@ -5552,30 +5139,18 @@ #: Merge.rc:2689 #, c-format -msgid "" -"Shows line numbers\n" -"Show Line Numbers" -msgstr "" -"Visar radnummer\n" -"Visa radnummer" +msgid "Shows line numbers\nShow Line Numbers" +msgstr "Visar radnummer\nVisa radnummer" #: Merge.rc:2690 #, c-format -msgid "" -"Enable word wrap\n" -"Wrap Lines" -msgstr "" -"Startar radbrytning\n" -"Radbrytning" +msgid "Enable word wrap\nWrap Lines" +msgstr "Startar radbrytning\nRadbrytning" #: Merge.rc:2691 #, c-format -msgid "" -"Shows selection margins for both panes\n" -"Show Selection Margins" -msgstr "" -"Visar marginaler f\xF6r b\xE5da filerna\n" -"Visa filmarginaler" +msgid "Shows selection margins for both panes\nShow Selection Margins" +msgstr "Visar marginaler f\xF6r b\xE5da filerna\nVisa filmarginaler" #: Merge.rc:2692 #, c-format @@ -5594,102 +5169,58 @@ #: Merge.rc:2700 #, c-format -msgid "" -"Scroll to the previous difference\n" -"Previous Difference (Alt+Up)" -msgstr "" -"G\xE5r till f\xF6reg\xE5ende skillnad\n" -"F\xF6reg\xE5ende skillnad (Alt+Upp)" +msgid "Scroll to the previous difference\nPrevious Difference (Alt+Up)" +msgstr "G\xE5r till f\xF6reg\xE5ende skillnad\nF\xF6reg\xE5ende skillnad (Alt+Upp)" #: Merge.rc:2701 #, c-format -msgid "" -"Scroll to the next difference\n" -"Next Difference (Alt+Down)" -msgstr "" -"G\xE5r till n\xE4sta skillnad\n" -"N\xE4sta skillnad (Alt+Ner)" +msgid "Scroll to the next difference\nNext Difference (Alt+Down)" +msgstr "G\xE5r till n\xE4sta skillnad\nN\xE4sta skillnad (Alt+Ner)" #: Merge.rc:2702 #, c-format -msgid "" -"Scroll to the first difference\n" -"First Difference (Alt+Home)" -msgstr "" -"G\xE5r till f\xF6rsta skillnaden\n" -"F\xF6rsta skillnad (Alt+Home)" +msgid "Scroll to the first difference\nFirst Difference (Alt+Home)" +msgstr "G\xE5r till f\xF6rsta skillnaden\nF\xF6rsta skillnad (Alt+Home)" #: Merge.rc:2703 #, c-format -msgid "" -"Scroll to the current difference\n" -"Current Difference (Alt+Enter)" -msgstr "" -"G\xE5r till aktuell skillnad\n" -"Aktuell skillnad (Alt+Enter)" +msgid "Scroll to the current difference\nCurrent Difference (Alt+Enter)" +msgstr "G\xE5r till aktuell skillnad\nAktuell skillnad (Alt+Enter)" #: Merge.rc:2704 #, c-format -msgid "" -"Scroll to the last difference\n" -"Last Difference (Alt+End)" -msgstr "" -"G\xE5r till sista skillnaden\n" -"Sista skillnad (Alt+End)" +msgid "Scroll to the last difference\nLast Difference (Alt+End)" +msgstr "G\xE5r till sista skillnaden\nSista skillnad (Alt+End)" #: Merge.rc:2705 #, c-format -msgid "" -"Copy the selected text to the right file\n" -"Copy Right (Alt+Right)" -msgstr "" -"Kopierar den valda texten till den h\xF6gra filen\n" -"Kopiera h\xF6ger (Alt+H\xF6ger)" +msgid "Copy the selected text to the right file\nCopy Right (Alt+Right)" +msgstr "Kopierar den valda texten till den h\xF6gra filen\nKopiera h\xF6ger (Alt+H\xF6ger)" #: Merge.rc:2706 #, c-format -msgid "" -"Copy the selected text to the left file\n" -"Copy Left (Alt+Left)" -msgstr "" -"Kopierar den valda texten till den v\xE4nstra filen\n" -"Kopiera v\xE4nster (Alt+V\xE4nster)" +msgid "Copy the selected text to the left file\nCopy Left (Alt+Left)" +msgstr "Kopierar den valda texten till den v\xE4nstra filen\nKopiera v\xE4nster (Alt+V\xE4nster)" #: Merge.rc:2707 #, c-format -msgid "" -"Copy difference to right and advance to next\n" -"Copy Right and Advance (Ctrl+Alt+Right)" -msgstr "" -"Kopierar skillnad till h\xF6ger och g\xE5r fram till n\xE4sta\n" -"Kopiera h\xF6ger och g\xE5 fram\xE5t (Ctrl+Alt+H\xF6ger)" +msgid "Copy difference to right and advance to next\nCopy Right and Advance (Ctrl+Alt+Right)" +msgstr "Kopierar skillnad till h\xF6ger och g\xE5r fram till n\xE4sta\nKopiera h\xF6ger och g\xE5 fram\xE5t (Ctrl+Alt+H\xF6ger)" #: Merge.rc:2708 #, c-format -msgid "" -"Copy difference to left and advance to next\n" -"Copy Left and Advance (Ctrl+Alt+Left)" -msgstr "" -"Kopierar skillnad till v\xE4nster och g\xE5r fram till n\xE4sta\n" -"Kopiera v\xE4nster och g\xE5 fram\xE5t (Ctrl+Alt+V\xE4nster)" +msgid "Copy difference to left and advance to next\nCopy Left and Advance (Ctrl+Alt+Left)" +msgstr "Kopierar skillnad till v\xE4nster och g\xE5r fram till n\xE4sta\nKopiera v\xE4nster och g\xE5 fram\xE5t (Ctrl+Alt+V\xE4nster)" #: Merge.rc:2709 #, c-format -msgid "" -"Copy all differences to the right file\n" -"All Right" -msgstr "" -"Kopierar alla skillnader till den h\xF6gra filen\n" -"Kopiera allt till h\xF6ger" +msgid "Copy all differences to the right file\nAll Right" +msgstr "Kopierar alla skillnader till den h\xF6gra filen\nKopiera allt till h\xF6ger" #: Merge.rc:2710 #, c-format -msgid "" -"Copy all differences to the left file\n" -"All Left" -msgstr "" -"Kopierar alla skillnader till den v\xE4nstra filen\n" -"Kopiera allt till v\xE4nster" +msgid "Copy all differences to the left file\nAll Left" +msgstr "Kopierar alla skillnader till den v\xE4nstra filen\nKopiera allt till v\xE4nster" #: Merge.rc:2711 #, c-format @@ -5763,12 +5294,8 @@ #: Merge.rc:2740 #, c-format -msgid "" -"Activates next visible file\n" -"Activate next File" -msgstr "" -"Aktiverar n\xE4sta synliga fil\n" -"Aktivera n\xE4sta fil" +msgid "Activates next visible file\nActivate next File" +msgstr "Aktiverar n\xE4sta synliga fil\nAktivera n\xE4sta fil" #: Merge.rc:2741 #, c-format This was sent by the SourceForge.net collaborative development platform, the world's largest Open Source development site. |
From: <ki...@us...> - 2008-11-04 17:26:47
|
Revision: 6061 http://winmerge.svn.sourceforge.net/winmerge/?rev=6061&view=rev Author: kimmov Date: 2008-11-04 17:26:33 +0000 (Tue, 04 Nov 2008) Log Message: ----------- PATCH: [ 2219568 ] Brazilian translation update Submitted by Felipe Periard Lopes Modified Paths: -------------- trunk/Docs/Users/ChangeLog.txt trunk/Src/Languages/Brazilian.po Modified: trunk/Docs/Users/ChangeLog.txt =================================================================== --- trunk/Docs/Users/ChangeLog.txt 2008-11-03 23:21:34 UTC (rev 6060) +++ trunk/Docs/Users/ChangeLog.txt 2008-11-04 17:26:33 UTC (rev 6061) @@ -6,6 +6,7 @@ WinMerge 2.11.1.7 Update PCRE to version 7.8 (#2209911) Translation updates: + - Brazilian (#2219568) - Czech (r6034) - Swedish (#2215167) Modified: trunk/Src/Languages/Brazilian.po =================================================================== --- trunk/Src/Languages/Brazilian.po 2008-11-03 23:21:34 UTC (rev 6060) +++ trunk/Src/Languages/Brazilian.po 2008-11-04 17:26:33 UTC (rev 6061) @@ -13,8 +13,8 @@ msgstr "" "Project-Id-Version: WinMerge\n" "Report-Msgid-Bugs-To: http://sourceforge.net/tracker/?group_id=13216&atid=113216\n" -"POT-Creation-Date: 2008-08-14 01:28+0000\n" -"PO-Revision-Date: 2008-08-14 18:00+0000\n" +"POT-Creation-Date: 2008-10-20 21:03+0000\n" +"PO-Revision-Date: 2008-11-01 07:59-0300\n" "Last-Translator: Felipe Periard Lopes <felipefpl at ig.com.br>\n" "Language-Team: Brazilian <win...@li...>\n" "MIME-Version: 1.0\n" @@ -2045,8 +2045,12 @@ #: Merge.rc:1277 #, c-format -msgid "Detect codepage info for these files: .html, .rc, .xml \nneed to restart session" +msgid "" +"Detect codepage info for these files: .html, .rc, .xml \n" +"need to restart session" msgstr "" +"Detectar a info do c\xF3digo de p\xE1gina para estes arquivos : .html, .rc, .xml \n" +"precisa reiniciar a sess\xE3o" #: Merge.rc:1280 #, c-format @@ -2061,7 +2065,7 @@ #: Merge.rc:1284 #, c-format msgid "Custom codepage:" -msgstr "" +msgstr "C\xF3digo de p\xE1gina personalizado:" #: Merge.rc:1292 #, c-format @@ -2864,7 +2868,7 @@ "Janelas em Cascata" #: Merge.rc:1812 -#, fuzzy, c-format +#, c-format msgid "" "Arrange windows as non-overlapping tiles horizontally\n" "Tile Windows Horizontally" @@ -2873,7 +2877,7 @@ "Janelas Lado a Lado Horizontalmente" #: Merge.rc:1813 -#, fuzzy, c-format +#, c-format msgid "" "Arrange windows as non-overlapping tiles vertically\n" "Tile Windows Vertically" @@ -3499,7 +3503,7 @@ #: Merge.rc:2052 #, c-format msgid "&Path to SS.EXE:" -msgstr "" +msgstr "&Caminho para o SS.EXE:" #: Merge.rc:2058 #, c-format @@ -4427,12 +4431,12 @@ #: Merge.rc:2321 #, c-format msgid "Text files are identical" -msgstr "" +msgstr "Os arquivos texto s\xE3o id\xEAnticos" #: Merge.rc:2326 #, c-format msgid "Text files are different" -msgstr "" +msgstr "Os arquivos texto s\xE3o diferentes" #: Merge.rc:2332 #, c-format @@ -6134,3 +6138,6 @@ msgid "Show big toolbar" msgstr "Mostrar barra de ferramentas grande" +#~ msgid "&Path to cleartool.exe :" +#~ msgstr "&Caminho para o cleartool.exe :" + This was sent by the SourceForge.net collaborative development platform, the world's largest Open Source development site. |
From: <ki...@us...> - 2008-11-12 16:44:37
|
Revision: 6082 http://winmerge.svn.sourceforge.net/winmerge/?rev=6082&view=rev Author: kimmov Date: 2008-11-12 16:44:31 +0000 (Wed, 12 Nov 2008) Log Message: ----------- PATCH: [ 2236651 ] An updated InnoSetup Swedish.isl file for WinMerge Submitted by Hans Eriksson Modified Paths: -------------- trunk/Docs/Users/ChangeLog.txt trunk/Installer/InnoSetup/Languages/Swedish.isl Modified: trunk/Docs/Users/ChangeLog.txt =================================================================== --- trunk/Docs/Users/ChangeLog.txt 2008-11-10 22:07:48 UTC (rev 6081) +++ trunk/Docs/Users/ChangeLog.txt 2008-11-12 16:44:31 UTC (rev 6082) @@ -8,7 +8,7 @@ Translation updates: - Brazilian (#2219568) - Czech (r6034) - - Swedish (#2215167) + - Swedish (#2215167, #2236651) WinMerge 2.11.1.6 - 2008-10-23 (r6033) General compare status for files (not known if text/binary) (#2175736) Modified: trunk/Installer/InnoSetup/Languages/Swedish.isl =================================================================== --- trunk/Installer/InnoSetup/Languages/Swedish.isl 2008-11-10 22:07:48 UTC (rev 6081) +++ trunk/Installer/InnoSetup/Languages/Swedish.isl 2008-11-12 16:44:31 UTC (rev 6082) @@ -335,7 +335,7 @@ DanishLanguage=Danska menyer och dialoger DutchLanguage=Nederl\xE4ndska menyer och dialoger FrenchLanguage=Franska menyer och dialoger -GalicianLanguage=Galician menus and dialogs +GalicianLanguage=Galiciska menyer och dialoger GermanLanguage=Tyska menyer och dialoger GreekLanguage=Grekiska menyer och dialoger HungarianLanguage=Ungerska menyer och dialoger This was sent by the SourceForge.net collaborative development platform, the world's largest Open Source development site. |
From: <ki...@us...> - 2008-11-12 17:31:33
|
Revision: 6085 http://winmerge.svn.sourceforge.net/winmerge/?rev=6085&view=rev Author: kimmov Date: 2008-11-12 17:31:24 +0000 (Wed, 12 Nov 2008) Log Message: ----------- PATCH: [ 2119235 ] Locationview Show missing lines in locatino pane for the diffs having identical and missing lines. Submitted by Matthias Mayer Modified Paths: -------------- trunk/Docs/Users/ChangeLog.txt trunk/Src/LocationView.cpp trunk/Src/LocationView.h Modified: trunk/Docs/Users/ChangeLog.txt =================================================================== --- trunk/Docs/Users/ChangeLog.txt 2008-11-12 16:56:01 UTC (rev 6084) +++ trunk/Docs/Users/ChangeLog.txt 2008-11-12 17:31:24 UTC (rev 6085) @@ -5,6 +5,8 @@ WinMerge 2.11.1.7 Update PCRE to version 7.8 (#2209911) + Show missing lines in location pane for diffs having both different + and missing lines (#2119235) Translation updates: - Brazilian (#2219568) - Czech (r6034) Modified: trunk/Src/LocationView.cpp =================================================================== --- trunk/Src/LocationView.cpp 2008-11-12 16:56:01 UTC (rev 6084) +++ trunk/Src/LocationView.cpp 2008-11-12 17:31:24 UTC (rev 6085) @@ -245,8 +245,12 @@ */ void CLocationView::CalculateBlocks() { + // lineposition in pixels. + int nBeginY; + int nEndY; + m_diffBlocks.clear(); - + CMergeDoc *pDoc = GetDocument(); const int nDiffs = pDoc->m_diffList.GetSize(); if (nDiffs > 0) @@ -258,33 +262,111 @@ DIFFRANGE diff; VERIFY(pDoc->m_diffList.GetDiff(nDiff, diff)); - // Find end of diff. If first side has blank lines use other side. - const int nLineEndDiff = (diff.blank0 > 0) ? diff.dend1 : diff.dend0; - CMergeEditView *pView = m_view[MERGE_VIEW_LEFT]; - // Count how many line does the diff block have. - const int nBlockStart = pView->GetSubLineIndex(diff.dbegin0); - const int nBlockEnd = pView->GetSubLineIndex(nLineEndDiff); - const int nBlockHeight = nBlockEnd - nBlockStart + pView->GetSubLines(nLineEndDiff); + DiffBlock block; + //there are no blanks on both side + if ((diff.blank0 < 0) && (diff.blank1 < 0)) + { + CalculateBlocksPixel( + pView->GetSubLineIndex(diff.dbegin0), + pView->GetSubLineIndex(diff.dend0), + pView->GetSubLines(diff.dend0), nBeginY, nEndY); - // Convert diff block size from lines to pixels. - const int nBeginY = (int)(nBlockStart * m_lineInPix + Y_OFFSET); - const int nEndY = (int)((nBlockStart + nBlockHeight) * m_lineInPix + Y_OFFSET); + block.top_line = diff.dbegin0; + block.bottom_line = diff.dend0; + block.top_coord = nBeginY; + block.bottom_coord = nEndY; + block.diff_index = nDiff; + m_diffBlocks.push_back(block); + } + //side0 has blank lines? + else if (diff.blank0 > 0) + { + //Is there a common block on side0? + if ((int)diff.dbegin0 < diff.blank0) + { + CalculateBlocksPixel( + pView->GetSubLineIndex(diff.dbegin0), + pView->GetSubLineIndex(diff.blank0 - 1), + pView->GetSubLines(diff.blank0 - 1), nBeginY, nEndY); - DiffBlock block; - block.top_line = diff.dbegin0; - block.bottom_line = nLineEndDiff; - block.top_coord = nBeginY; - block.bottom_coord = nEndY; - block.diff_index = nDiff; - m_diffBlocks.push_back(block); + block.top_line = diff.dbegin0; + block.bottom_line = diff.blank0 - 1; + block.top_coord = nBeginY; + block.bottom_coord = nEndY; + block.diff_index = nDiff; + m_diffBlocks.push_back(block); + } + // Now the block for blank lines side0! + CalculateBlocksPixel( + pView->GetSubLineIndex(diff.blank0), + pView->GetSubLineIndex(diff.dend1), + pView->GetSubLines(diff.dend1), nBeginY, nEndY); + block.top_line = diff.blank0; + block.bottom_line = diff.dend1; + block.top_coord = nBeginY; + block.bottom_coord = nEndY; + block.diff_index = nDiff; + m_diffBlocks.push_back(block); + } + //side1 has blank lines? + else + { + // Is there a common block on side1? + if ((int)diff.dbegin0 < diff.blank1) + { + CalculateBlocksPixel( + pView->GetSubLineIndex(diff.dbegin0), + pView->GetSubLineIndex(diff.blank1 - 1), + pView->GetSubLines(diff.blank1 - 1), nBeginY, nEndY); + + block.top_line = diff.dbegin0; + block.bottom_line = diff.blank1 - 1; + block.top_coord = nBeginY; + block.bottom_coord = nEndY; + block.diff_index = nDiff; + m_diffBlocks.push_back(block); + } + // Now the block for blank lines side1! + CalculateBlocksPixel( + pView->GetSubLineIndex(diff.blank1), + pView->GetSubLineIndex(diff.dend0), + pView->GetSubLines(diff.dend0), nBeginY, nEndY); + + block.top_line = diff.blank1; + block.bottom_line = diff.dend0; + block.top_coord = nBeginY; + block.bottom_coord = nEndY; + block.diff_index = nDiff; + m_diffBlocks.push_back(block); + } + nDiff = pDoc->m_diffList.NextSignificantDiff(nDiff); } m_bRecalculateBlocks = FALSE; } +/** + * @brief Calculate Blocksize to pixel. + * @param [in] nBlockStart line where block starts + * @param [in] nBlockEnd line where block ends + * @param [in] nBlockLength length of the block + * @param [in,out] nBeginY pixel in y where block starts + * @param [in,out] nEndY pixel in y where block ends + + */ +void CLocationView::CalculateBlocksPixel(int nBlockStart, int nBlockEnd, + int nBlockLength, int &nBeginY, int &nEndY) +{ + // Count how many line does the diff block have. + const int nBlockHeight = nBlockEnd - nBlockStart + nBlockLength; + + // Convert diff block size from lines to pixels. + nBeginY = (int)(nBlockStart * m_lineInPix + Y_OFFSET); + nEndY = (int)((nBlockStart + nBlockHeight) * m_lineInPix + Y_OFFSET); +} /** * @brief Draw maps of files. * Modified: trunk/Src/LocationView.h =================================================================== --- trunk/Src/LocationView.h 2008-11-12 16:56:01 UTC (rev 6084) +++ trunk/Src/LocationView.h 2008-11-12 17:31:24 UTC (rev 6085) @@ -93,6 +93,8 @@ void DrawDiffMarker(CDC* pDC, int yCoord); void CalculateBars(); void CalculateBlocks(); + void CalculateBlocksPixel(int nBlockStart, int nBlockEnd, int nBlockLength, + int &nBeginY, int &nEndY); void DrawBackground(CDC* pDC); private: This was sent by the SourceForge.net collaborative development platform, the world's largest Open Source development site. |
From: <ge...@us...> - 2008-11-17 23:36:02
|
Revision: 6093 http://winmerge.svn.sourceforge.net/winmerge/?rev=6093&view=rev Author: gerundt Date: 2008-11-17 23:35:56 +0000 (Mon, 17 Nov 2008) Log Message: ----------- RFE: [ 2231145 ] Verilog syntax highlight Modified Paths: -------------- trunk/Docs/Users/ChangeLog.txt trunk/Src/Merge.dsp trunk/Src/Merge.rc trunk/Src/editlib/ccrystaltextview.cpp trunk/Src/editlib/ccrystaltextview.h trunk/Src/resource.h Added Paths: ----------- trunk/Src/editlib/verilog.cpp Modified: trunk/Docs/Users/ChangeLog.txt =================================================================== --- trunk/Docs/Users/ChangeLog.txt 2008-11-17 22:45:51 UTC (rev 6092) +++ trunk/Docs/Users/ChangeLog.txt 2008-11-17 23:35:56 UTC (rev 6093) @@ -7,6 +7,7 @@ Update PCRE to version 7.8 (#2209911) Show missing lines in location pane for diffs having both different and missing lines (#2119235) + Syntax highlighting for Verilog files (#2231145) Readme update (#2234689) Translation updates: - Brazilian (#2219568) Modified: trunk/Src/Merge.dsp =================================================================== --- trunk/Src/Merge.dsp 2008-11-17 22:45:51 UTC (rev 6092) +++ trunk/Src/Merge.dsp 2008-11-17 23:35:56 UTC (rev 6093) @@ -2267,6 +2267,10 @@ # End Source File # Begin Source File +SOURCE=.\editlib\verilog.cpp +# End Source File +# Begin Source File + SOURCE=.\editlib\wispelld.h # End Source File # Begin Source File Modified: trunk/Src/Merge.rc =================================================================== --- trunk/Src/Merge.rc 2008-11-17 22:45:51 UTC (rev 6092) +++ trunk/Src/Merge.rc 2008-11-17 23:35:56 UTC (rev 6093) @@ -2831,6 +2831,7 @@ IDS_COLORSCHEME_SQL "SQL" IDS_COLORSCHEME_TCL "TCL" IDS_COLORSCHEME_TEX "TEX" + IDS_COLORSCHEME_VERILOG "Verilog" IDS_COLORSCHEME_XML "XML" END Modified: trunk/Src/editlib/ccrystaltextview.cpp =================================================================== --- trunk/Src/editlib/ccrystaltextview.cpp 2008-11-17 22:45:51 UTC (rev 6092) +++ trunk/Src/editlib/ccrystaltextview.cpp 2008-11-17 23:35:56 UTC (rev 6093) @@ -302,6 +302,7 @@ CCrystalTextView::SRC_SQL, _T ("SQL"), _T ("sql"), &CCrystalTextView::ParseLineSql, SRCOPT_AUTOINDENT, /*4,*/ _T ("/*"), _T ("*/"), _T ("//"), (DWORD)-1, CCrystalTextView::SRC_TCL, _T ("TCL"), _T ("tcl"), &CCrystalTextView::ParseLineTcl, SRCOPT_AUTOINDENT|SRCOPT_BRACEGNU|SRCOPT_EOLNUNIX, /*2,*/ _T (""), _T (""), _T ("#"), (DWORD)-1, CCrystalTextView::SRC_TEX, _T ("TEX"), _T ("tex,sty,clo,ltx,fd,dtx"), &CCrystalTextView::ParseLineTex, SRCOPT_AUTOINDENT, /*4,*/ _T (""), _T (""), _T ("%"), (DWORD)-1, + CCrystalTextView::SRC_VERILOG, _T ("Verilog"), _T ("v,vh"), &CCrystalTextView::ParseLineVerilog, SRCOPT_AUTOINDENT|SRCOPT_BRACEANSI, /*2,*/ _T ("/*"), _T ("*/"), _T ("//"), (DWORD)-1, CCrystalTextView::SRC_XML, _T ("XML"), _T ("xml"), &CCrystalTextView::ParseLineXml, SRCOPT_AUTOINDENT|SRCOPT_BRACEANSI, /*2,*/ _T ("<!--"), _T ("-->"), _T (""), (DWORD)-1 }; Modified: trunk/Src/editlib/ccrystaltextview.h =================================================================== --- trunk/Src/editlib/ccrystaltextview.h 2008-11-17 22:45:51 UTC (rev 6092) +++ trunk/Src/editlib/ccrystaltextview.h 2008-11-17 23:35:56 UTC (rev 6093) @@ -666,6 +666,7 @@ DWORD ParseLineSql (DWORD dwCookie, int nLineIndex, TEXTBLOCK * pBuf, int &nActualItems); DWORD ParseLineTcl (DWORD dwCookie, int nLineIndex, TEXTBLOCK * pBuf, int &nActualItems); DWORD ParseLineTex (DWORD dwCookie, int nLineIndex, TEXTBLOCK * pBuf, int &nActualItems); + DWORD ParseLineVerilog (DWORD dwCookie, int nLineIndex, TEXTBLOCK * pBuf, int &nActualItems); DWORD ParseLineXml (DWORD dwCookie, int nLineIndex, TEXTBLOCK * pBuf, int &nActualItems); // Attributes @@ -745,6 +746,7 @@ SRC_SQL, SRC_TCL, SRC_TEX, + SRC_VERILOG, SRC_XML } TextType; Added: trunk/Src/editlib/verilog.cpp =================================================================== --- trunk/Src/editlib/verilog.cpp (rev 0) +++ trunk/Src/editlib/verilog.cpp 2008-11-17 23:35:56 UTC (rev 6093) @@ -0,0 +1,560 @@ +/////////////////////////////////////////////////////////////////////////// +// File: verilog.cpp +// Version: 1.0 +// Created: 08-Nov-2008 +// +// Copyright: Stcherbatchenko Andrei, portions by Tim Gerundt +// E-mail: win...@gm... +// +// Verilog syntax highlighing definition +// +// You are free to use or modify this code to the following restrictions: +// - Acknowledge me somewhere in your about box, simple "Parts of code by.." +// will be enough. If you can't (or don't want to), contact me personally. +// - LEAVE THIS HEADER INTACT +//////////////////////////////////////////////////////////////////////////// + +#include "StdAfx.h" +#include "ccrystaltextview.h" +#include "ccrystaltextbuffer.h" +#include "SyntaxColors.h" + +#ifdef _DEBUG +#define new DEBUG_NEW +#undef THIS_FILE +static char THIS_FILE[] = __FILE__; +#endif + +// Verilog keywords +static LPTSTR s_apszVerilogKeywordList[] = + { + _T ("always"), + _T ("and"), + _T ("assign"), + _T ("automatic"), + _T ("begin"), + _T ("buf"), + _T ("bufif0"), + _T ("bufif1"), + _T ("case"), + _T ("casex"), + _T ("casez"), + _T ("cell"), + _T ("cmos"), + _T ("config"), + _T ("deassign"), + _T ("default"), + _T ("defparam"), + _T ("design"), + _T ("disable"), + _T ("edge"), + _T ("else"), + _T ("end"), + _T ("endcase"), + _T ("endconfig"), + _T ("endfunction"), + _T ("endgenerate"), + _T ("endmodule"), + _T ("endprimitive"), + _T ("endspecify"), + _T ("endtable"), + _T ("endtask"), + _T ("event"), + _T ("for"), + _T ("force"), + _T ("forever"), + _T ("fork"), + _T ("function"), + _T ("generate"), + _T ("genvar"), + _T ("highz0"), + _T ("highz1"), + _T ("if"), + _T ("ifnone"), + _T ("incdir"), + _T ("include"), + _T ("initial"), + _T ("inout"), + _T ("input"), + _T ("instance"), + _T ("integer"), + _T ("join"), + _T ("large"), + _T ("liblist"), + _T ("library"), + _T ("localparam"), + _T ("macromodule"), + _T ("medium"), + _T ("module"), + _T ("nand"), + _T ("negedge"), + _T ("nmos"), + _T ("nor"), + _T ("noshowcancelled"), + _T ("not"), + _T ("notif0"), + _T ("notif1"), + _T ("or"), + _T ("output"), + _T ("parameter"), + _T ("pmos"), + _T ("posedge"), + _T ("primitive"), + _T ("pull0"), + _T ("pull1"), + _T ("pulldown"), + _T ("pullup"), + _T ("pulsestyle_ondetect"), + _T ("pulsestyle_onevent"), + _T ("rcmos"), + _T ("real"), + _T ("realtime"), + _T ("reg"), + _T ("release"), + _T ("repeat"), + _T ("rnmos"), + _T ("rpmos"), + _T ("rtran"), + _T ("rtranif0"), + _T ("rtranif1"), + _T ("scalared"), + _T ("showcancelled"), + _T ("signed"), + _T ("small"), + _T ("specify"), + _T ("specparam"), + _T ("strong0"), + _T ("strong1"), + _T ("supply0"), + _T ("supply1"), + _T ("table"), + _T ("task"), + _T ("time"), + _T ("tran"), + _T ("tranif0"), + _T ("tranif1"), + _T ("tri"), + _T ("tri0"), + _T ("tri1"), + _T ("triand"), + _T ("trior"), + _T ("trireg"), + _T ("unsigned"), + _T ("use"), + _T ("vectored"), + _T ("wait"), + _T ("wand"), + _T ("weak0"), + _T ("weak1"), + _T ("while"), + _T ("wire"), + _T ("wor"), + _T ("xnor"), + _T ("xor"), + NULL + }; + +// Verilog functions +static LPTSTR s_apszVerilogFunctionList[] = + { + _T ("$async$and$array"), + _T ("$async$and$plane"), + _T ("$async$nand$array"), + _T ("$async$nand$plane"), + _T ("$async$nor$array"), + _T ("$async$nor$plane"), + _T ("$async$or$array"), + _T ("$async$or$plane"), + _T ("$bitstoreal"), + _T ("$countdrivers"), + _T ("$display"), + _T ("$displayb"), + _T ("$displayh"), + _T ("$displayo"), + _T ("$dist_chi_square"), + _T ("$dist_erlang"), + _T ("$dist_exponential"), + _T ("$dist_normal"), + _T ("$dist_poisson"), + _T ("$dist_t"), + _T ("$dist_uniform"), + _T ("$dumpall"), + _T ("$dumpfile"), + _T ("$dumpflush"), + _T ("$dumplimit"), + _T ("$dumpoff"), + _T ("$dumpon"), + _T ("$dumpportsall"), + _T ("$dumpportsflush"), + _T ("$dumpportslimit"), + _T ("$dumpportsoff"), + _T ("$dumpportson"), + _T ("$dumpvars"), + _T ("$fclose"), + _T ("$fdisplay"), + _T ("$fdisplayb"), + _T ("$fdisplayf"), + _T ("$fdisplayh"), + _T ("$ferror"), + _T ("$fflush"), + _T ("$fgetc"), + _T ("$fgets"), + _T ("$finish"), + _T ("$fmonitor"), + _T ("$fmonitorb"), + _T ("$fmonitorf"), + _T ("$fmonitorh"), + _T ("$fopen"), + _T ("$fread"), + _T ("$fscanf"), + _T ("$fseek"), + _T ("$fsscanf"), + _T ("$fstrobe"), + _T ("$fstrobebb"), + _T ("$fstrobef"), + _T ("$fstrobeh"), + _T ("$ftel"), + _T ("$fullskew"), + _T ("$fwrite"), + _T ("$fwriteb"), + _T ("$fwritef"), + _T ("$fwriteh"), + _T ("$getpattern"), + _T ("$history"), + _T ("$hold"), + _T ("$incsave"), + _T ("$input"), + _T ("$itor"), + _T ("$key"), + _T ("$list"), + _T ("$log"), + _T ("$monitor"), + _T ("$monitorb"), + _T ("$monitorh"), + _T ("$monitoro"), + _T ("$monitoroff"), + _T ("$monitoron"), + _T ("$nochange"), + _T ("$nokey"), + _T ("$nolog"), + _T ("$period"), + _T ("$printtimescale"), + _T ("$q_add"), + _T ("$q_exam"), + _T ("$q_full"), + _T ("$q_initialize"), + _T ("$q_remove"), + _T ("$random"), + _T ("$readmemb"), + _T ("$readmemh"), + _T ("$readmemh"), + _T ("$realtime"), + _T ("$realtobits"), + _T ("$recovery"), + _T ("$recrem"), + _T ("$removal"), + _T ("$reset"), + _T ("$reset_count"), + _T ("$reset_value"), + _T ("$restart"), + _T ("$rewind"), + _T ("$rtoi"), + _T ("$save"), + _T ("$scale"), + _T ("$scope"), + _T ("$sdf_annotate"), + _T ("$setup"), + _T ("$setuphold"), + _T ("$sformat"), + _T ("$showscopes"), + _T ("$showvariables"), + _T ("$showvars"), + _T ("$signed"), + _T ("$skew"), + _T ("$sreadmemb"), + _T ("$sreadmemh"), + _T ("$stime"), + _T ("$stop"), + _T ("$strobe"), + _T ("$strobeb"), + _T ("$strobeh"), + _T ("$strobeo"), + _T ("$swrite"), + _T ("$swriteb"), + _T ("$swriteh"), + _T ("$swriteo"), + _T ("$sync$and$array"), + _T ("$sync$and$plane"), + _T ("$sync$nand$array"), + _T ("$sync$nand$plane"), + _T ("$sync$nor$array"), + _T ("$sync$nor$plane"), + _T ("$sync$or$array"), + _T ("$sync$or$plane"), + _T ("$test$plusargs"), + _T ("$time"), + _T ("$timeformat"), + _T ("$timeskew"), + _T ("$ungetc"), + _T ("$unsigned"), + _T ("$value$plusargs"), + _T ("$width"), + _T ("$write"), + _T ("$writeb"), + _T ("$writeh"), + _T ("$writeo"), + NULL + }; + +static BOOL +IsXKeyword (LPTSTR apszKeywords[], LPCTSTR pszChars, int nLength) +{ + for (int L = 0; apszKeywords[L] != NULL; L++) + { + if (_tcsncmp (apszKeywords[L], pszChars, nLength) == 0 + && apszKeywords[L][nLength] == 0) + return TRUE; + } + return FALSE; +} + +static BOOL +IsVerilogKeyword (LPCTSTR pszChars, int nLength) +{ + return IsXKeyword (s_apszVerilogKeywordList, pszChars, nLength); +} + +static BOOL +IsVerilogFunction (LPCTSTR pszChars, int nLength) +{ + return IsXKeyword (s_apszVerilogFunctionList, pszChars, nLength); +} + +static BOOL +IsVerilogNumber (LPCTSTR pszChars, int nLength) +{ + if (!_istdigit (pszChars[0])) + return FALSE; + for (int I = 1; I < nLength; I++) + { + if (_istdigit (pszChars[I]) || pszChars[I] == '.' || pszChars[I] == '\'' || + pszChars[I] == 'h' || (pszChars[I] >= 'A' && pszChars[I] <= 'F') || + (pszChars[I] >= 'a' && pszChars[I] <= 'f') || pszChars[I] == '_' || + pszChars[I] == 'x' || pszChars[I] == 'Z') + continue; + return FALSE; + } + return TRUE; +} + +#define DEFINE_BLOCK(pos, colorindex) \ +ASSERT((pos) >= 0 && (pos) <= nLength);\ +if (pBuf != NULL)\ + {\ + if (nActualItems == 0 || pBuf[nActualItems - 1].m_nCharPos <= (pos)){\ + pBuf[nActualItems].m_nCharPos = (pos);\ + pBuf[nActualItems].m_nColorIndex = (colorindex);\ + pBuf[nActualItems].m_nBgColorIndex = COLORINDEX_BKGND;\ + nActualItems ++;}\ + } + +#define COOKIE_COMMENT 0x0001 +#define COOKIE_PREPROCESSOR 0x0002 +#define COOKIE_EXT_COMMENT 0x0004 +#define COOKIE_STRING 0x0008 +#define COOKIE_CHAR 0x0010 + +DWORD CCrystalTextView:: +ParseLineVerilog (DWORD dwCookie, int nLineIndex, TEXTBLOCK * pBuf, int &nActualItems) +{ + int nLength = GetLineLength (nLineIndex); + if (nLength == 0) + return dwCookie & COOKIE_EXT_COMMENT; + + LPCTSTR pszChars = GetLineChars (nLineIndex); + BOOL bFirstChar = (dwCookie & ~COOKIE_EXT_COMMENT) == 0; + BOOL bRedefineBlock = TRUE; + BOOL bWasCommentStart = FALSE; + BOOL bDecIndex = FALSE; + int nIdentBegin = -1; + int nPrevI = -1; + int I=0; + for (I = 0;; nPrevI = I, I = CharNext(pszChars+I) - pszChars) + { + if (I == nPrevI) + { + // CharNext did not advance, so we're at the end of the string + // and we already handled this character, so stop + break; + } + + if (bRedefineBlock) + { + int nPos = I; + if (bDecIndex) + nPos = nPrevI; + if (dwCookie & (COOKIE_COMMENT | COOKIE_EXT_COMMENT)) + { + DEFINE_BLOCK (nPos, COLORINDEX_COMMENT); + } + else if (dwCookie & (COOKIE_CHAR | COOKIE_STRING)) + { + DEFINE_BLOCK (nPos, COLORINDEX_STRING); + } + else if (dwCookie & COOKIE_PREPROCESSOR) + { + DEFINE_BLOCK (nPos, COLORINDEX_PREPROCESSOR); + } + else + { + if (xisalnum (pszChars[nPos]) || pszChars[nPos] == '$' || (pszChars[nPos] == '\'' && nPos > 0 && (xisalpha (*::CharNext(pszChars + nPos))))) + { + DEFINE_BLOCK (nPos, COLORINDEX_NORMALTEXT); + } + else + { + DEFINE_BLOCK (nPos, COLORINDEX_OPERATOR); + bRedefineBlock = TRUE; + bDecIndex = TRUE; + goto out; + } + } + bRedefineBlock = FALSE; + bDecIndex = FALSE; + } +out: + + // Can be bigger than length if there is binary data + // See bug #1474782 Crash when comparing SQL with with binary data + if (I >= nLength) + break; + + if (dwCookie & COOKIE_COMMENT) + { + DEFINE_BLOCK (I, COLORINDEX_COMMENT); + dwCookie |= COOKIE_COMMENT; + break; + } + + // String constant "..." + if (dwCookie & COOKIE_STRING) + { + if (pszChars[I] == '"' && (I == 0 || I == 1 && pszChars[nPrevI] != '\\' || I >= 2 && (pszChars[nPrevI] != '\\' || pszChars[nPrevI] == '\\' && *::CharPrev(pszChars, pszChars + nPrevI) == '\\'))) + { + dwCookie &= ~COOKIE_STRING; + bRedefineBlock = TRUE; + } + continue; + } + + // Extended comment /*...*/ + if (dwCookie & COOKIE_EXT_COMMENT) + { + if ((I > 1 && pszChars[I] == '/' && pszChars[nPrevI] == '*' && !bWasCommentStart) || (I == 1 && pszChars[I] == '/' && pszChars[nPrevI] == '*')) + { + dwCookie &= ~COOKIE_EXT_COMMENT; + bRedefineBlock = TRUE; + } + bWasCommentStart = FALSE; + continue; + } + + // Line comment //... + if (I > 0 && pszChars[I] == '/' && pszChars[nPrevI] == '/') + { + DEFINE_BLOCK (nPrevI, COLORINDEX_COMMENT); + dwCookie |= COOKIE_COMMENT; + break; + } + + // Preprocessor directive `... + if (dwCookie & COOKIE_PREPROCESSOR) + { + if (I > 0 && pszChars[I] == '*' && pszChars[nPrevI] == '/') + { + DEFINE_BLOCK (nPrevI, COLORINDEX_COMMENT); + dwCookie |= COOKIE_EXT_COMMENT; + } + continue; + } + + // Normal text + if (pszChars[I] == '"') + { + DEFINE_BLOCK (I, COLORINDEX_STRING); + dwCookie |= COOKIE_STRING; + continue; + } + if (I > 0 && pszChars[I] == '*' && pszChars[nPrevI] == '/') + { + DEFINE_BLOCK (nPrevI, COLORINDEX_COMMENT); + dwCookie |= COOKIE_EXT_COMMENT; + bWasCommentStart = TRUE; + continue; + } + + bWasCommentStart = FALSE; + + if (bFirstChar) + { + if (pszChars[I] == '`') + { + DEFINE_BLOCK (I, COLORINDEX_PREPROCESSOR); + dwCookie |= COOKIE_PREPROCESSOR; + continue; + } + if (!xisspace (pszChars[I])) + bFirstChar = FALSE; + } + + if (pBuf == NULL) + continue; // We don't need to extract keywords, + // for faster parsing skip the rest of loop + + if (xisalnum (pszChars[I]) || pszChars[I] == '$' || pszChars[I] == '\'') + { + if (nIdentBegin == -1) + nIdentBegin = I; + } + else + { + if (nIdentBegin >= 0) + { + if (IsVerilogKeyword (pszChars + nIdentBegin, I - nIdentBegin)) + { + DEFINE_BLOCK (nIdentBegin, COLORINDEX_KEYWORD); + } + else if (IsVerilogFunction (pszChars + nIdentBegin, I - nIdentBegin)) + { + DEFINE_BLOCK (nIdentBegin, COLORINDEX_USER1); + } + else if (IsVerilogNumber (pszChars + nIdentBegin, I - nIdentBegin)) + { + DEFINE_BLOCK (nIdentBegin, COLORINDEX_NUMBER); + } + bRedefineBlock = TRUE; + bDecIndex = TRUE; + nIdentBegin = -1; + } + } + } + + if (nIdentBegin >= 0) + { + if (IsVerilogKeyword (pszChars + nIdentBegin, I - nIdentBegin)) + { + DEFINE_BLOCK (nIdentBegin, COLORINDEX_KEYWORD); + } + else if (IsVerilogFunction (pszChars + nIdentBegin, I - nIdentBegin)) + { + DEFINE_BLOCK (nIdentBegin, COLORINDEX_FUNCNAME); + } + else if (IsVerilogNumber (pszChars + nIdentBegin, I - nIdentBegin)) + { + DEFINE_BLOCK (nIdentBegin, COLORINDEX_NUMBER); + } + } + + dwCookie &= COOKIE_EXT_COMMENT; + return dwCookie; +} Modified: trunk/Src/resource.h =================================================================== --- trunk/Src/resource.h 2008-11-17 22:45:51 UTC (rev 6092) +++ trunk/Src/resource.h 2008-11-17 23:35:56 UTC (rev 6093) @@ -1051,8 +1051,9 @@ #define IDS_COLORSCHEME_SQL 33150 #define IDS_COLORSCHEME_TCL 33151 #define IDS_COLORSCHEME_TEX 33152 -#define IDS_COLORSCHEME_XML 33153 -#define ID_COLORSCHEME_LAST 33153 // = IDS_COLORSCHEME_XML +#define IDS_COLORSCHEME_VERILOG 33153 +#define IDS_COLORSCHEME_XML 33154 +#define ID_COLORSCHEME_LAST 33154 // = IDS_COLORSCHEME_XML #define ID_TOOLBAR_NONE 33164 #define ID_TOOLBAR_SMALL 33165 #define ID_TOOLBAR_BIG 33166 This was sent by the SourceForge.net collaborative development platform, the world's largest Open Source development site. |
From: <ki...@us...> - 2008-11-19 18:47:26
|
Revision: 6099 http://winmerge.svn.sourceforge.net/winmerge/?rev=6099&view=rev Author: kimmov Date: 2008-11-19 18:47:21 +0000 (Wed, 19 Nov 2008) Log Message: ----------- PATCH: [ 2189315 ] Shell Context Menu in Folder Compare view Submitted by Paul (pav...@us...) Modified Paths: -------------- trunk/Docs/Users/ChangeLog.txt trunk/Docs/Users/Contributors.txt trunk/Src/DirView.cpp trunk/Src/DirView.h trunk/Src/MainFrm.cpp trunk/Src/MainFrm.h trunk/Src/Merge.rc trunk/Src/Merge.vcproj trunk/Src/OptionsDef.h trunk/Src/OptionsInit.cpp trunk/Src/PropGeneral.cpp trunk/Src/PropGeneral.h trunk/Src/resource.h Added Paths: ----------- trunk/Src/Common/PidlContainer.cpp trunk/Src/Common/PidlContainer.h trunk/Src/Common/ShellContextMenu.cpp trunk/Src/Common/ShellContextMenu.h Modified: trunk/Docs/Users/ChangeLog.txt =================================================================== --- trunk/Docs/Users/ChangeLog.txt 2008-11-18 22:39:37 UTC (rev 6098) +++ trunk/Docs/Users/ChangeLog.txt 2008-11-19 18:47:21 UTC (rev 6099) @@ -4,6 +4,7 @@ http://winmerge.org/tracker/[tracker-id] WinMerge 2.11.1.7 + Add shell context menu to folder compare context menu (#2189315) Update PCRE to version 7.8 (#2209911) Show missing lines in location pane for diffs having both different and missing lines (#2119235) Modified: trunk/Docs/Users/Contributors.txt =================================================================== --- trunk/Docs/Users/Contributors.txt 2008-11-18 22:39:37 UTC (rev 6098) +++ trunk/Docs/Users/Contributors.txt 2008-11-19 18:47:21 UTC (rev 6099) @@ -154,6 +154,7 @@ * Ryan Mott * Tim Musschoot * Marco De Paoli +* Paul <pav...@us...> * Dan Pidcock * Vincent Osele * Scott Rasmussen Added: trunk/Src/Common/PidlContainer.cpp =================================================================== --- trunk/Src/Common/PidlContainer.cpp (rev 0) +++ trunk/Src/Common/PidlContainer.cpp 2008-11-19 18:47:21 UTC (rev 6099) @@ -0,0 +1,61 @@ +///////////////////////////////////////////////////////////////////////////// +// WinMerge: an interactive diff/merge utility +// Copyright (C) 1997-2000 Thingamahoochie Software +// Author: Dean Grimm +// +// This program is free software; you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation; either version 2 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program; if not, write to the Free Software +// Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +// +///////////////////////////////////////////////////////////////////////////// +/** + * @file PidlContainer.cpp + * + * @brief Main implementation file for CPidlContainer + */ +// Revision ID line follows -- this is updated by SVN +// $Id$ + +#include "StdAfx.h" +#include "PidlContainer.h" + +#include <ShlObj.h> +#include <comdef.h> + +#ifdef _DEBUG +#define new DEBUG_NEW +#undef THIS_FILE +static char THIS_FILE[] = __FILE__; +#endif + +CPidlContainer::~CPidlContainer() +{ + IMallocPtr m_pMalloc; + if (FAILED(SHGetMalloc(&m_pMalloc))) + { + // can't do anything but return + return; + } + // free memory allocated for pidls + for (PidlContainer::iterator iter = m_container.begin(); iter != m_container.end(); ++iter) + { + m_pMalloc->Free(*iter); + } +} + +LPCITEMIDLIST* CPidlContainer::GetList() const +{ + if (m_container.empty()) + return NULL; + return const_cast<LPCITEMIDLIST*>(&m_container[0]); +} Property changes on: trunk/Src/Common/PidlContainer.cpp ___________________________________________________________________ Added: svn:keywords + Author Date Id Revision Added: svn:eol-style + native Added: trunk/Src/Common/PidlContainer.h =================================================================== --- trunk/Src/Common/PidlContainer.h (rev 0) +++ trunk/Src/Common/PidlContainer.h 2008-11-19 18:47:21 UTC (rev 6099) @@ -0,0 +1,81 @@ +///////////////////////////////////////////////////////////////////////////// +// WinMerge: an interactive diff/merge utility +// Copyright (C) 1997 Dean P. Grimm +// +// This program is free software; you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation; either version 2 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program; if not, write to the Free Software +// Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +// +///////////////////////////////////////////////////////////////////////////// +/** + * @file PidlContainer.h + * + * @brief Declaration of class CPidlContainer + */ +// +// ID line follows -- this is updated by SVN +// $Id$ + +#ifndef _PIDLCONTAINER_H_ +#define _PIDLCONTAINER_H_ + +#include <ShTypes.h> +#include <vector> + +/** + * @brief Container for LPITEMIDLIST items + * + * When showing shell context menu we need to collect pidls for items. + * This class simplifies this task. + * Items are stored in vector. Memory allocated for pidls are freed in destructor. + * You can add items and then query container size and pointer to + * LPCITEMIDLIST needed by IContextMenu::GetUIObjectOf + */ +class CPidlContainer +{ +public: + /** + * @brief Destructor + * + * Frees memory allocated for pidls using IMalloc interface + */ + ~CPidlContainer(); + + /** + * @brief Adds item to container + * + * @param[in] pidl item to add + */ + void Add(LPITEMIDLIST pidl) { m_container.push_back(pidl); } + + /** + * @brief Returns pointer to array of LPCITEMIDLIST items + * + * @return Pointer to array of LPCITEMIDLIST items. + * May be invalidated by Add() + */ + LPCITEMIDLIST* CPidlContainer::GetList() const; + + /** + * @brief Returns number of items in container + * + * @return Number of items in container + */ + size_t Size() const { return m_container.size(); } + +private: + typedef std::vector<LPITEMIDLIST> PidlContainer; + PidlContainer m_container; /**< stores items */ +}; + +#endif // _PIDLCONTAINER_H_ Property changes on: trunk/Src/Common/PidlContainer.h ___________________________________________________________________ Added: svn:keywords + Author Date Id Revision Added: svn:eol-style + native Added: trunk/Src/Common/ShellContextMenu.cpp =================================================================== --- trunk/Src/Common/ShellContextMenu.cpp (rev 0) +++ trunk/Src/Common/ShellContextMenu.cpp 2008-11-19 18:47:21 UTC (rev 6099) @@ -0,0 +1,269 @@ +///////////////////////////////////////////////////////////////////////////// +// WinMerge: an interactive diff/merge utility +// Copyright (C) 1997-2000 Thingamahoochie Software +// Author: Dean Grimm +// +// This program is free software; you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation; either version 2 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program; if not, write to the Free Software +// Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +// +///////////////////////////////////////////////////////////////////////////// +/** + * @file ShellContextMenu.cpp + * + * @brief Main implementation file for CShellContextMenu + */ +// Revision ID line follows -- this is updated by SVN +// $Id$ + +#include "StdAfx.h" +#include "ShellContextMenu.h" +#include "PidlContainer.h" + +#ifdef _DEBUG +#define new DEBUG_NEW +#undef THIS_FILE +static char THIS_FILE[] = __FILE__; +#endif + +CShellContextMenu::CShellContextMenu(UINT cmdFirst, UINT cmdLast) +: m_pPreferredMenu(NULL) +, m_pShellContextMenu2(NULL) +, m_pShellContextMenu3(NULL) +, m_hShellContextMenu(NULL) +, m_cmdFirst(cmdFirst) +, m_cmdLast(cmdLast) +{ +} + +CShellContextMenu::~CShellContextMenu() +{ + if (::IsMenu(m_hShellContextMenu)) + { + ::DestroyMenu(m_hShellContextMenu); + } +} + +void CShellContextMenu::Initialize() +{ + if (!::IsMenu(m_hShellContextMenu)) // first call or menu was destroyed by parent after it was added as submenu + { + m_hShellContextMenu = CreatePopupMenu(); + } + m_files.clear(); +} + +void CShellContextMenu::AddItem(const FileEntry& fileEntry) +{ + m_files.insert(m_files.end(), fileEntry); +} + +void CShellContextMenu::AddItem(const String& path, + const String& filename) +{ + AddItem(FileEntry(path, filename)); +} + +HMENU CShellContextMenu::GetHMENU() const +{ + ASSERT(::IsMenu(m_hShellContextMenu)); + return m_hShellContextMenu; +} + +bool CShellContextMenu::HandleMenuMessage(UINT message, WPARAM wParam, LPARAM lParam, LRESULT& retval) +{ + HRESULT hr = E_FAIL; + switch (message) + { + case WM_DRAWITEM: + case WM_INITMENUPOPUP: + case WM_MEASUREITEM: + if (m_pShellContextMenu3) + { + if (FAILED(hr = m_pShellContextMenu3->HandleMenuMsg(message, wParam, lParam/*, &retval*/))) + { + //TRACE(_T("HandleMenuMsg(%x) failed with error: %lx\n"), message, hr); + //if (message == WM_DRAWITEM) + //{ + // LPDRAWITEMSTRUCT lpDrawItem = (LPDRAWITEMSTRUCT) lParam; + // (HMENU)hMenu = (HMENU)lpDrawItem->hwndItem; + // TRACE(_T(" hMenu == 0x%p\n"), hMenu); + //} + //assert(0); + } + } + else if (m_pShellContextMenu2) + { + if (FAILED(hr = m_pShellContextMenu2->HandleMenuMsg(message, wParam, lParam))) + { + //TRACE(_T("HandleMenuMsg(%x) failed with error: %lx\n"), message, hr); + //assert(0); + } + } + // indicate that we've processed the message + retval = (message == WM_INITMENUPOPUP) ? 0 : TRUE; + return true; + case WM_MENUCHAR: + if (m_pShellContextMenu3) + { + retval = 0; + if (FAILED(hr = m_pShellContextMenu3->HandleMenuMsg2(message, wParam, lParam, &retval))) + { + //TRACE(_T("HandleMenuMsg2(%x) failed with error: %lx\n"), message, hr); + //assert(0); + } + return true; + } + } + return false; +} + +bool CShellContextMenu::QueryShellContextMenu() +{ + HRESULT hr = E_FAIL; + IShellFolderPtr pDesktop; + if (FAILED(hr = SHGetDesktopFolder(&pDesktop))) + return false; + + String parentDir; // use it to track that all selected files are in the same parent directory + IShellFolderPtr pCurrFolder; + CPidlContainer pidls; + + for (FilenamesContainer::const_iterator iter = m_files.begin(); iter != m_files.end(); ++iter) + { + const FileEntry& file = *iter; + + String currentDir = file.path; + + if (parentDir.empty()) // first iteration, initialize parentDir and pCurrFolder + { + parentDir = currentDir; + + LPITEMIDLIST dirPidl; + if (FAILED(hr = pDesktop->ParseDisplayName(NULL, // hwnd + NULL, // pbc + CT2OLE(currentDir.c_str()), // pszDisplayName + NULL, // pchEaten + &dirPidl, // ppidl + NULL // pdwAttributes + ))) + { + return false; + } + + if (FAILED(hr = pDesktop->BindToObject(dirPidl, // pidl + NULL, // pbc + IID_IShellFolder, // riid + reinterpret_cast<void**>(&pCurrFolder)))) + { + return false; + } + } + else if (currentDir != parentDir) // check whether file belongs to the same parentDir, break otherwise + { + return false; + } + + LPITEMIDLIST pidl; + if (FAILED(hr = pCurrFolder->ParseDisplayName(NULL, + NULL, + CT2OLE(file.filename.c_str()), + NULL, + &pidl, + NULL))) + { + return false; + } + + pidls.Add(pidl); + } // for (FilenamesContainer::const_iterator iter = m_files.begin(); iter != m_files.end(); ++iter) + + if (0 == pidls.Size()) // no items to show menu for + { + return false; + } + + IContextMenuPtr pCMenu1; + if (FAILED(hr = pCurrFolder->GetUIObjectOf(NULL, + pidls.Size(), + pidls.GetList(), + IID_IContextMenu, + 0, + reinterpret_cast<void**>(&pCMenu1)))) + { + return false; + } + + m_pPreferredMenu = pCMenu1; + + IContextMenu2Ptr pCMenu2(pCMenu1); + if (pCMenu2) + { + m_pPreferredMenu = pCMenu2; + } + + IContextMenu3Ptr pCMenu3(pCMenu1); + if (pCMenu3) + { + m_pPreferredMenu = pCMenu3; + } + + ASSERT(::IsMenu(m_hShellContextMenu)); + if (FAILED(hr = m_pPreferredMenu->QueryContextMenu(m_hShellContextMenu, + 0, + m_cmdFirst, + m_cmdLast, + CMF_EXPLORE | CMF_CANRENAME))) + { + return false; + } + + m_pShellContextMenu2 = pCMenu2; + m_pShellContextMenu3 = pCMenu3; + + return true; +} + +bool CShellContextMenu::InvokeCommand(UINT nCmd, HWND hWnd) +{ + if (nCmd >= m_cmdFirst && nCmd <= m_cmdLast) + { + CMINVOKECOMMANDINFO ici = {sizeof(CMINVOKECOMMANDINFO)}; + + ici.hwnd = hWnd; + nCmd -= m_cmdFirst; // adjust command id + ici.lpVerb = MAKEINTRESOURCEA(nCmd); + ici.nShow = SW_SHOWNORMAL; + + HRESULT hr = E_FAIL; + hr = m_pPreferredMenu->InvokeCommand(&ici); + ASSERT(SUCCEEDED(hr)); + return SUCCEEDED(hr); + } + else + { + return false; + } +} + +bool CShellContextMenu::RequeryShellContextMenu() +{ + ASSERT(::IsMenu(m_hShellContextMenu)); + while (::GetMenuItemCount(m_hShellContextMenu) > 0) + { + ::DeleteMenu(m_hShellContextMenu, 0, MF_BYPOSITION); + } + ASSERT(::GetMenuItemCount(m_hShellContextMenu) == 0); + + return QueryShellContextMenu(); +} Property changes on: trunk/Src/Common/ShellContextMenu.cpp ___________________________________________________________________ Added: svn:keywords + Author Date Id Revision Added: svn:eol-style + native Added: trunk/Src/Common/ShellContextMenu.h =================================================================== --- trunk/Src/Common/ShellContextMenu.h (rev 0) +++ trunk/Src/Common/ShellContextMenu.h 2008-11-19 18:47:21 UTC (rev 6099) @@ -0,0 +1,189 @@ +///////////////////////////////////////////////////////////////////////////// +// WinMerge: an interactive diff/merge utility +// Copyright (C) 1997 Dean P. Grimm +// +// This program is free software; you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation; either version 2 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program; if not, write to the Free Software +// Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +// +///////////////////////////////////////////////////////////////////////////// +/** + * @file ShellContextMenu.h + * + * @brief Declaration of class CShellContextMenu + */ +// +// ID line follows -- this is updated by SVN +// $Id$ + +#ifndef _SHELLCONTEXTMENU_H_ +#define _SHELLCONTEXTMENU_H_ + +#include <comdef.h> +#include <list> +#include "UnicodeString.h" + +/** + * @brief Explorer's context menu + * + * Allows to query shell context menu for a group of files + * + * Usage: + * <ol> + * <li>Initialize menu by calling Initialize(). + * This should be called each time user wants to show menu - i.e. user does not have to create + * new instance of CShellContextMenu each time, just needs to call Initialize(). + * <li>Add files using AddItem().</li> + * <li>Call RequeryShellContextMenu(). + * If you have several CShellContextMenu objects for different groups of files and want to show context menu + * for these groups in turns you should call RequeryShellContextMenu() for appropriate object before menu is shown. + * You can call RequeryShellContextMenu() in WM_INITMENUPOPUP message handler. + * This is probably a "feature" of Windows Shell, but without it menus won't work correctly.</li> + * <li>Show popup menu via TrackPopupMenu[Ex]() with TPM_RETURNCMD flag using handle that is returned by GetHMENU(). + * Handle WM_INITMENUPOPUP, WM_DRAWITEM, WM_MEASUREITEM and WM_MENUCHAR in window procedure of the menu owner + * and pass them to HandleMenuMessage().</li> + * <li>Call InvokeCommand() with nCmd returned by TrackPopupMenu[Ex]().</li> + * </ol> + * + * @note Current implementation can successfully query context menu from the shell only if all the files are in the same folder. + * This is checked in QueryShellContextMenu() so caller can simply add items and check return value of RequeryShellContextMenu() + */ +class CShellContextMenu +{ +public: + /** + * @brief Helper describing file entry in the group of files to show shell context menu for + */ + struct FileEntry + { + FileEntry(const String& aPath, const String& aFilename) + : path(aPath), filename(aFilename) {} + String path; /**< path to file, including all but file name */ + String filename; /**< file name */ + }; + + /** + * @brief Constructor + * + * @param[in] cmdFirst minimum value for a menu item identifier + * @param[in] cmdLast maximum value for a menu item identifier + * + * @pre cmdFirst < cmdLast <= 0xffff + * @pre [cmdFirst, cmdLast] range should not intersect with available command IDs + */ + CShellContextMenu(UINT cmdFirst, UINT cmdLast); + + /** + * @brief Destructor + */ + ~CShellContextMenu(); + + /** + * @brief Initializes menu + * + * Should be called each time menu is going to be shown. + * Initializes m_hShellContextMenu and clears all previously added items. + */ + void Initialize(); + + /** + * @brief Adds a file to a group of files for which shell context menu is queried + * + * @param[in] fileEntry FileEntry object describing a file to add + */ + void AddItem(const FileEntry& fileEntry); + + /** + * @brief Adds a file to a group of files for which shell context menu is queried + * + * @param[in] path path to file, including all but file name + * @param[in] filename file name without path + */ + void AddItem(const String& path, + const String& filename); + + /** + * @brief Returns HMENU handle to context menu + * + * Menu is created by CShellContextMenu using CreatePopupMenu() + * + * @return Handle to shell context menu. + * Menu is created in constructor and does not normally change when requesting context menu via RequeryShellContextMenu() + * It may though change if destroyed by someone. In this case it is recreated in RequeryShellContextMenu() + */ + HMENU GetHMENU() const; + + /** + * @brief Clears current menu and queries context menu from the shell + * + * @retval true Context menu is queried successfully. User can track menu using handle returned by GetHMENU() + * @retval false Failed to query context menu + */ + bool RequeryShellContextMenu(); + + /** + * @brief Forwards certain messages to context menu so it works properly + * + * Handles WM_INITMENUPOPUP, WM_DRAWITEM, WM_MEASUREITEM and WM_MENUCHAR messages + * + * @param[in] message Message to handle + * @param[in] wParam Additional message-specific information + * @param[in] lParam Additional message-specific information + * @param[out] retval Value returned by message handler + * + * @retval true message was handled + * @retval false message was not handled + */ + bool HandleMenuMessage(UINT message, WPARAM wParam, LPARAM lParam, LRESULT& retval); + + /** + * @brief Handles selected menu command + * + * @param[in] nCmd Menu item identifier returned by TrackPopupMenu[Ex]() + * @param[in] hWnd Handle to the window that owns popup menu ( window handle passed to TrackPopupMenu[Ex]() ) + * + * @retval true Everything is OK + * @retval false Something failed + */ + bool InvokeCommand(UINT nCmd, HWND hWnd); + +private: + /** + * @brief Queries context menu from the shell + * + * Initializes \ref m_pPreferredMenu, \ref m_pShellContextMenu2, \ref m_pShellContextMenu3 and \ref m_hShellContextMenu + * that are used later for showing menu and handling commands + * + * @retval true Menu is queried successfully + * @retval false Failed to query context menu + */ + bool QueryShellContextMenu(); + +private: + typedef std::list<FileEntry> FilenamesContainer; + + FilenamesContainer m_files; /**< List of files to show context menu for */ + + LPCONTEXTMENU m_pPreferredMenu; /**< Shell context menu for group of files. + Points either to IContextMenu, IContextMenu2 or IContextMenu3 interface, + the highest available. Used to process command in InvokeCommand() */ + IContextMenu2Ptr m_pShellContextMenu2; /**< IContextMenu2 interface of current preferred context menu */ + IContextMenu3Ptr m_pShellContextMenu3; /**< IContextMenu3 interface of current preferred context menu */ + + HMENU m_hShellContextMenu; /**< HMENU handle of shell context menu for group of files */ + + const UINT m_cmdFirst; /**< minimum value for a menu item identifier */ + const UINT m_cmdLast; /**< maximum value for a menu item identifier */ +}; + +#endif // _SHELLCONTEXTMENU_H_ Property changes on: trunk/Src/Common/ShellContextMenu.h ___________________________________________________________________ Added: svn:keywords + Author Date Id Revision Added: svn:eol-style + native Modified: trunk/Src/DirView.cpp =================================================================== --- trunk/Src/DirView.cpp 2008-11-18 22:39:37 UTC (rev 6098) +++ trunk/Src/DirView.cpp 2008-11-19 18:47:21 UTC (rev 6099) @@ -50,6 +50,7 @@ #include "DirCompProgressDlg.h" #include "CompareStatisticsDlg.h" #include "PluginsListDlg.h" +#include "ShellContextMenu.h" #ifdef _DEBUG #define new DEBUG_NEW @@ -98,6 +99,11 @@ DIFFIMG_TEXTSAME, }; +// The resource ID constants/limits for the Shell context menu +const UINT LeftCmdFirst = 0x9000; // this should be greater than any of already defined command IDs +const UINT RightCmdLast = 0xffff; // maximum available value +const UINT LeftCmdLast = (LeftCmdFirst + RightCmdLast) / 2; // divide available range equally between two context menus +const UINT RightCmdFirst = LeftCmdLast + 1; ///////////////////////////////////////////////////////////////////////////// // CDirView @@ -114,6 +120,9 @@ , m_pCmpProgressDlg(NULL) , m_compareStart(0) , m_bTreeMode(false) +, m_pShellContextMenuLeft(NULL) +, m_pShellContextMenuRight(NULL) +, m_hCurrentMenu(NULL) { m_dwDefaultStyle &= ~LVS_TYPEMASK; // Show selection all the time, so user can see current item even when @@ -126,6 +135,8 @@ CDirView::~CDirView() { + delete m_pShellContextMenuRight; + delete m_pShellContextMenuLeft; delete m_pCmpProgressDlg; } @@ -630,6 +641,32 @@ String s = theApp.LoadString(ID_TITLE_PLUGINS_SETTINGS); pPopup->AppendMenu(MF_POPUP, (int)menuPluginsHolder.m_hMenu, s.c_str()); + bool bEnableShellContextMenu = GetOptionsMgr()->GetBool(OPT_DIRVIEW_ENABLE_SHELL_CONTEXT_MENU); + if (bEnableShellContextMenu) + { + if (!m_pShellContextMenuLeft) + m_pShellContextMenuLeft = new CShellContextMenu(LeftCmdFirst, LeftCmdLast); + if (!m_pShellContextMenuRight) + m_pShellContextMenuRight = new CShellContextMenu(RightCmdFirst, RightCmdLast); + + bool leftContextMenuOk = ListShellContextMenu(SIDE_LEFT); + bool rightContextMenuOk = ListShellContextMenu(SIDE_RIGHT); + + if (leftContextMenuOk || rightContextMenuOk) + pPopup->AppendMenu(MF_SEPARATOR); + + if (leftContextMenuOk) + { + s = theApp.LoadString(IDS_SHELL_CONTEXT_MENU_LEFT); + pPopup->AppendMenu(MF_POPUP, (UINT_PTR)m_pShellContextMenuLeft->GetHMENU(), s.c_str()); + } + if (rightContextMenuOk) + { + s = theApp.LoadString(IDS_SHELL_CONTEXT_MENU_RIGHT); + pPopup->AppendMenu(MF_POPUP, (UINT_PTR)m_pShellContextMenuRight->GetHMENU(), s.c_str()); + } + } + // set the menu items with the proper directory names String sl, sr; GetSelectedDirNames(sl, sr); @@ -730,8 +767,16 @@ pFrame->m_bAutoMenuEnable = FALSE; // invoke context menu // this will invoke all the OnUpdate methods to enable/disable the individual items - pPopup->TrackPopupMenu(TPM_LEFTALIGN | TPM_RIGHTBUTTON, point.x, point.y, + BOOL nCmd = pPopup->TrackPopupMenu(TPM_LEFTALIGN | TPM_RIGHTBUTTON | TPM_RETURNCMD, point.x, point.y, AfxGetMainWnd()); + if (nCmd) + { + HWND hWnd = AfxGetMainWnd()->GetSafeHwnd(); + ( m_pShellContextMenuLeft && m_pShellContextMenuLeft->InvokeCommand(nCmd, hWnd) ) + || ( m_pShellContextMenuRight && m_pShellContextMenuRight->InvokeCommand(nCmd, hWnd) ) + // we have called TrackPopupMenu with TPM_RETURNCMD flag so we have to post message ourselves + || PostMessage(WM_COMMAND, MAKEWPARAM(nCmd, 0), 0); + } pFrame->m_bAutoMenuEnable = TRUE; } @@ -755,6 +800,40 @@ } /** + * @brief Gets Explorer's context menu for a group of selected files. + * + * @param [in] Side whether to get context menu for the files from the left or + * right side. + * @retval true menu successfully retrieved. + * @retval falsea an error occurred while retrieving the menu. + */ +bool CDirView::ListShellContextMenu(SIDE_TYPE side) +{ + CShellContextMenu* shellContextMenu = (side == SIDE_LEFT) ? m_pShellContextMenuLeft : m_pShellContextMenuRight; + shellContextMenu->Initialize(); + + CDirDoc *pDoc = GetDocument(); + String parentDir; // use it to track that all selected files are in the same parent directory + + int i = -1; + while ((i = m_pList->GetNextItem(i, LVNI_SELECTED)) != -1) + { + const DIFFITEM& di = GetDiffItem(i); + if (di.diffcode.diffcode == 0) // Invalid value, this must be special item + continue; + + String currentDir = (side == SIDE_LEFT) ? + di.getLeftFilepath(pDoc->GetLeftBasePath()) : + di.getRightFilepath(pDoc->GetRightBasePath()); + + String filename = ((side == SIDE_LEFT) ? di.left.filename : di.right.filename); + + shellContextMenu->AddItem(currentDir, filename); + } + return shellContextMenu->RequeryShellContextMenu(); +} + +/** * @brief Convert number to string. * Converts number to string, with commas between digits in * locale-appropriate manner. @@ -3588,3 +3667,66 @@ PluginsListDlg dlg; dlg.DoModal(); } + +/** + * @brief Returns CShellContextMenu object that owns given HMENU. + * + * @param [in] hMenu Handle to the menu to check ownership of. + * @return Either m_pShellContextMenuLeft, m_pShellContextMenuRight + * or NULL if hMenu is not owned by these two. + */ +CShellContextMenu* CDirView::GetCorrespondingShellContextMenu(HMENU hMenu) const +{ + CShellContextMenu* pMenu = NULL; + if (hMenu == m_pShellContextMenuLeft->GetHMENU()) + pMenu = m_pShellContextMenuLeft; + else if (hMenu == m_pShellContextMenuRight->GetHMENU()) + pMenu = m_pShellContextMenuRight; + + return pMenu; +} + +/** + * @brief Handle messages related to correct menu working. + * + * We need to requery shell context menu each time we switch from context menu + * for one side to context menu for other side. Here we check whether we need to + * requery and call ShellContextMenuHandleMenuMessage. + */ +LRESULT CDirView::HandleMenuMessage(UINT message, WPARAM wParam, LPARAM lParam) +{ + if (!m_pShellContextMenuLeft || !m_pShellContextMenuRight) + return false; + + while (message == WM_INITMENUPOPUP) + { + HMENU hMenu = (HMENU)wParam; + if (CShellContextMenu* pMenu = GetCorrespondingShellContextMenu(hMenu)) + { + if (m_hCurrentMenu != hMenu) + { + // re-query context menu once more, because if context menu was queried for right + // group of files and we are showing menu for left group (or vice versa) menu will + // be shown incorrectly + // also, if context menu was last queried for right group of files and we are + // invoking command for left command will be executed for right group (the last + // group that menu was requested for) + // may be a "feature" of Shell + + pMenu->RequeryShellContextMenu(); + m_hCurrentMenu = hMenu; + } + } + break; + } + + CShellContextMenu* pMenu = GetCorrespondingShellContextMenu(m_hCurrentMenu); + + LRESULT res = 0; + if (pMenu) + { + pMenu->HandleMenuMessage(message, wParam, lParam, res); + } + + return res; +} Modified: trunk/Src/DirView.h =================================================================== --- trunk/Src/DirView.h 2008-11-18 22:39:37 UTC (rev 6098) +++ trunk/Src/DirView.h 2008-11-19 18:47:21 UTC (rev 6099) @@ -50,6 +50,7 @@ class CompareStats; struct DirColInfo; class CLoadSaveCodepageDlg; +class CShellContextMenu; struct ViewCustomFlags { @@ -122,6 +123,8 @@ void AddParentFolderItem(BOOL bEnable); void RefreshOptions(); + LRESULT HandleMenuMessage(UINT message, WPARAM wParam, LPARAM lParam); + // Implementation types private: typedef enum { SIDE_LEFT=1, SIDE_RIGHT } SIDE_TYPE; @@ -272,6 +275,10 @@ clock_t m_compareStart; /**< Starting process time of the compare */ BOOL m_bUserCancelEdit; /**< TRUE if the user cancels rename */ + CShellContextMenu* m_pShellContextMenuLeft; /**< Shell context menu for group of left files */ + CShellContextMenu* m_pShellContextMenuRight; /**< Shell context menu for group of right files */ + HMENU m_hCurrentMenu; /**< Current shell context menu (either left or right) */ + // Generated message map functions afx_msg void OnColumnClick(NMHDR* pNMHDR, LRESULT* pResult); afx_msg void OnContextMenu(CWnd*, CPoint point); @@ -427,6 +434,8 @@ void FixReordering(); void HeaderContextMenu(CPoint point, int i); void ListContextMenu(CPoint point, int i); + bool ListShellContextMenu(SIDE_TYPE side); + CShellContextMenu* GetCorrespondingShellContextMenu(HMENU hMenu) const; void ReloadColumns(); void ResetColumnWidths(); BOOL IsLabelEdit(); Modified: trunk/Src/MainFrm.cpp =================================================================== --- trunk/Src/MainFrm.cpp 2008-11-18 22:39:37 UTC (rev 6098) +++ trunk/Src/MainFrm.cpp 2008-11-19 18:47:21 UTC (rev 6099) @@ -3564,3 +3564,30 @@ PluginsListDlg dlg; dlg.DoModal(); } + +LRESULT CMainFrame::WindowProc(UINT message, WPARAM wParam, LPARAM lParam) +{ + switch (message) + { + case WM_DRAWITEM: + case WM_INITMENUPOPUP: + case WM_MEASUREITEM: + case WM_MENUCHAR: + { + if (GetOptionsMgr()->GetBool(OPT_DIRVIEW_ENABLE_SHELL_CONTEXT_MENU)) + { + // in case of folder comparison we need to pass these messages to shell context menu + CFrameWnd * pFrame = GetActiveFrame(); + FRAMETYPE frame = GetFrameType(pFrame); + if (frame == FRAME_FOLDER) + { + CDirDoc * pDoc = (CDirDoc*)pFrame->GetActiveDocument(); + CDirView *pView = pDoc->GetMainView(); + pView->HandleMenuMessage(message, wParam, lParam); + } + } + } + } + + return CMDIFrameWnd::WindowProc(message, wParam, lParam); +} Modified: trunk/Src/MainFrm.h =================================================================== --- trunk/Src/MainFrm.h 2008-11-18 22:39:37 UTC (rev 6098) +++ trunk/Src/MainFrm.h 2008-11-19 18:47:21 UTC (rev 6099) @@ -273,6 +273,7 @@ // Generated message map functions protected: + virtual LRESULT WindowProc(UINT message, WPARAM wParam, LPARAM lParam); void GetFontProperties(); //{{AFX_MSG(CMainFrame) afx_msg void OnMeasureItem(int nIDCtl, LPMEASUREITEMSTRUCT lpMeasureItemStruct); Modified: trunk/Src/Merge.rc =================================================================== --- trunk/Src/Merge.rc 2008-11-18 22:39:37 UTC (rev 6098) +++ trunk/Src/Merge.rc 2008-11-19 18:47:21 UTC (rev 6099) @@ -772,18 +772,20 @@ CONTROL "&Preserve file time in file compare", IDC_PRESERVE_FILETIME,"Button",BS_AUTOCHECKBOX | WS_TABSTOP,7,67,220,10 - LTEXT "O&pen-dialog Auto-Completion:",IDC_STATIC,19,82,125,8 - COMBOBOX IDC_AUTO_COMPLETE_SOURCE,20,91,147,41,CBS_DROPDOWNLIST | + CONTROL "Enable Shell &Context Menu",IDC_ENABLE_SHELL_CONTEXT_MENU, + "Button",BS_AUTOCHECKBOX | WS_TABSTOP,7,77,220,10 + LTEXT "O&pen-dialog Auto-Completion:",IDC_STATIC,19,92,125,8 + COMBOBOX IDC_AUTO_COMPLETE_SOURCE,20,101,147,41,CBS_DROPDOWNLIST | WS_VSCROLL | WS_TABSTOP - GROUPBOX "Enable multiple compare windows for",IDC_STATIC,7,110, + GROUPBOX "Enable multiple compare windows for",IDC_STATIC,7,120, 221,37 CONTROL "&Folder compare",IDC_MULTIDOC_DIRCMP, - "Button",BS_AUTOCHECKBOX | WS_TABSTOP,15,121,206,10 + "Button",BS_AUTOCHECKBOX | WS_TABSTOP,15,131,206,10 CONTROL "Fil&e compare",IDC_MULTIDOC_FILECMP, - "Button",BS_AUTOCHECKBOX | WS_TABSTOP,15,131,206,10 + "Button",BS_AUTOCHECKBOX | WS_TABSTOP,15,141,206,10 LTEXT "WinMerge allows hiding some common messageboxes. Press the Reset button to make all messageboxes visible again.", - IDC_STATIC,7,163,168,31 - PUSHBUTTON "Reset",IDC_RESET_ALL_MESSAGE_BOXES,178,169,50,14,NOT + IDC_STATIC,7,173,168,31 + PUSHBUTTON "Reset",IDC_RESET_ALL_MESSAGE_BOXES,178,179,50,14,NOT WS_TABSTOP END @@ -2246,6 +2248,13 @@ ID_DIR_COPY_PATHNAMES_BOTH "Copy both sides pathnames to clipboard" END +// DIRECTORY DIFFING : SHELL CONTEXT MENU +STRINGTABLE +BEGIN + IDS_SHELL_CONTEXT_MENU_LEFT "Shell context menu for Left" + IDS_SHELL_CONTEXT_MENU_RIGHT "Shell context menu for Right" +END + // DIRECTORY DIFFING : 7ZIP STRINGTABLE BEGIN Modified: trunk/Src/Merge.vcproj =================================================================== --- trunk/Src/Merge.vcproj 2008-11-18 22:39:37 UTC (rev 6098) +++ trunk/Src/Merge.vcproj 2008-11-19 18:47:21 UTC (rev 6099) @@ -3960,6 +3960,9 @@ </FileConfiguration> </File> <File + RelativePath="Common\PidlContainer.cpp"> + </File> + <File RelativePath="PluginManager.cpp"> <FileConfiguration Name="UnicodeRelease|Win32"> @@ -4897,6 +4900,9 @@ </FileConfiguration> </File> <File + RelativePath="Common\ShellContextMenu.cpp"> + </File> + <File RelativePath="Common\sizecbar.cpp"> <FileConfiguration Name="UnicodeRelease|Win32"> @@ -6280,6 +6286,9 @@ RelativePath="Common\Picture.h"> </File> <File + RelativePath="Common\PidlContainer.h"> + </File> + <File RelativePath="PluginManager.h"> </File> <File @@ -6358,6 +6367,9 @@ RelativePath="SharedFilterDlg.h"> </File> <File + RelativePath="Common\ShellContextMenu.h"> + </File> + <File RelativePath="Common\sizecbar.h"> </File> <File Modified: trunk/Src/OptionsDef.h =================================================================== --- trunk/Src/OptionsDef.h 2008-11-18 22:39:37 UTC (rev 6098) +++ trunk/Src/OptionsDef.h 2008-11-19 18:47:21 UTC (rev 6099) @@ -47,6 +47,7 @@ const TCHAR OPT_DIRVIEW_SORT_COLUMN[] = _T("Settings/DirViewSortCol"); const TCHAR OPT_DIRVIEW_SORT_ASCENDING[] = _T("Settings/DirViewSortAscending"); +const TCHAR OPT_DIRVIEW_ENABLE_SHELL_CONTEXT_MENU[] = _T("Settings/DirViewEnableShellContextMenu"); // File compare const TCHAR OPT_AUTOMATIC_RESCAN[] = _T("Settings/AutomaticRescan"); Modified: trunk/Src/OptionsInit.cpp =================================================================== --- trunk/Src/OptionsInit.cpp 2008-11-18 22:39:37 UTC (rev 6098) +++ trunk/Src/OptionsInit.cpp 2008-11-19 18:47:21 UTC (rev 6099) @@ -74,6 +74,7 @@ m_pOptions->InitOption(OPT_DIRVIEW_SORT_COLUMN, (int)-1); m_pOptions->InitOption(OPT_DIRVIEW_SORT_ASCENDING, true); + m_pOptions->InitOption(OPT_DIRVIEW_ENABLE_SHELL_CONTEXT_MENU, false); m_pOptions->InitOption(OPT_AUTOMATIC_RESCAN, false); m_pOptions->InitOption(OPT_ALLOW_MIXED_EOL, false); Modified: trunk/Src/PropGeneral.cpp =================================================================== --- trunk/Src/PropGeneral.cpp 2008-11-18 22:39:37 UTC (rev 6098) +++ trunk/Src/PropGeneral.cpp 2008-11-19 18:47:21 UTC (rev 6099) @@ -57,6 +57,7 @@ , m_bMultipleDirCmp(FALSE) , m_nAutoCompleteSource(0) , m_bPreserveFiletime(FALSE) +, m_bEnableShellContextMenu(FALSE) { } @@ -95,6 +96,7 @@ DDX_Check(pDX, IDC_MULTIDOC_DIRCMP, m_bMultipleDirCmp); DDX_CBIndex(pDX, IDC_AUTO_COMPLETE_SOURCE, m_nAutoCompleteSource); DDX_Check(pDX, IDC_PRESERVE_FILETIME, m_bPreserveFiletime); + DDX_Check(pDX, IDC_ENABLE_SHELL_CONTEXT_MENU, m_bEnableShellContextMenu); //}}AFX_DATA_MAP } @@ -120,6 +122,7 @@ m_bMultipleDirCmp = m_pOptionsMgr->GetBool(OPT_MULTIDOC_DIRDOCS); m_nAutoCompleteSource = m_pOptionsMgr->GetInt(OPT_AUTO_COMPLETE_SOURCE); m_bPreserveFiletime = m_pOptionsMgr->GetBool(OPT_PRESERVE_FILETIMES); + m_bEnableShellContextMenu = m_pOptionsMgr->GetBool(OPT_DIRVIEW_ENABLE_SHELL_CONTEXT_MENU); } /** @@ -137,6 +140,7 @@ m_pOptionsMgr->SaveOption(OPT_MULTIDOC_DIRDOCS, m_bMultipleDirCmp == TRUE); m_pOptionsMgr->SaveOption(OPT_AUTO_COMPLETE_SOURCE, m_nAutoCompleteSource); m_pOptionsMgr->SaveOption(OPT_PRESERVE_FILETIMES, m_bPreserveFiletime); + m_pOptionsMgr->SaveOption(OPT_DIRVIEW_ENABLE_SHELL_CONTEXT_MENU, m_bEnableShellContextMenu); } ///////////////////////////////////////////////////////////////////////////// Modified: trunk/Src/PropGeneral.h =================================================================== --- trunk/Src/PropGeneral.h 2008-11-18 22:39:37 UTC (rev 6098) +++ trunk/Src/PropGeneral.h 2008-11-19 18:47:21 UTC (rev 6099) @@ -43,6 +43,7 @@ BOOL m_bMultipleDirCmp; int m_nAutoCompleteSource; BOOL m_bPreserveFiletime; + BOOL m_bEnableShellContextMenu; //}}AFX_DATA Modified: trunk/Src/resource.h =================================================================== --- trunk/Src/resource.h 2008-11-18 22:39:37 UTC (rev 6098) +++ trunk/Src/resource.h 2008-11-19 18:47:21 UTC (rev 6099) @@ -442,6 +442,7 @@ #define IDC_TMPFOLDER_NAME 1340 #define IDC_PLUGINS_ENABLE 1341 #define IDC_PLUGINSLIST_LIST 1342 +#define IDC_ENABLE_SHELL_CONTEXT_MENU 1343 #define IDC_EDIT_WHOLE_WORD 8603 #define IDC_EDIT_MATCH_CASE 8604 #define IDC_EDIT_FINDTEXT 8605 @@ -1054,6 +1055,8 @@ #define IDS_COLORSCHEME_VERILOG 33153 #define IDS_COLORSCHEME_XML 33154 #define ID_COLORSCHEME_LAST 33154 // = IDS_COLORSCHEME_XML +#define IDS_SHELL_CONTEXT_MENU_LEFT 33162 +#define IDS_SHELL_CONTEXT_MENU_RIGHT 33163 #define ID_TOOLBAR_NONE 33164 #define ID_TOOLBAR_SMALL 33165 #define ID_TOOLBAR_BIG 33166 This was sent by the SourceForge.net collaborative development platform, the world's largest Open Source development site. |
From: <ge...@us...> - 2008-11-19 21:44:28
|
Revision: 6102 http://winmerge.svn.sourceforge.net/winmerge/?rev=6102&view=rev Author: gerundt Date: 2008-11-19 21:40:37 +0000 (Wed, 19 Nov 2008) Log Message: ----------- PATCH: [ 2312381 ] Tweak new equalfile.ico a little bit Modified Paths: -------------- trunk/Docs/Users/ChangeLog.txt trunk/Src/res/equalfile.ico Modified: trunk/Docs/Users/ChangeLog.txt =================================================================== --- trunk/Docs/Users/ChangeLog.txt 2008-11-19 21:30:57 UTC (rev 6101) +++ trunk/Docs/Users/ChangeLog.txt 2008-11-19 21:40:37 UTC (rev 6102) @@ -9,6 +9,7 @@ Show missing lines in location pane for diffs having both different and missing lines (#2119235) Syntax highlighting for Verilog files (#2231145) + Tweak new "equal file" icon a little bit (#2312381) Readme update (#2234689) Translation updates: - Brazilian (#2219568) Modified: trunk/Src/res/equalfile.ico =================================================================== (Binary files differ) This was sent by the SourceForge.net collaborative development platform, the world's largest Open Source development site. |
From: <ge...@us...> - 2008-11-25 22:45:45
|
Revision: 6119 http://winmerge.svn.sourceforge.net/winmerge/?rev=6119&view=rev Author: gerundt Date: 2008-11-25 22:45:40 +0000 (Tue, 25 Nov 2008) Log Message: ----------- PATCH: [ 2329381 ] Add .Git to SourceControl.flt and fix ignore file rules Modified Paths: -------------- trunk/Docs/Users/ChangeLog.txt trunk/Filters/SourceControl.flt Modified: trunk/Docs/Users/ChangeLog.txt =================================================================== --- trunk/Docs/Users/ChangeLog.txt 2008-11-21 00:22:52 UTC (rev 6118) +++ trunk/Docs/Users/ChangeLog.txt 2008-11-25 22:45:40 UTC (rev 6119) @@ -3,6 +3,9 @@ to Subversion revision numbers (rXXXXX). To open the tracker item, go to URL: http://winmerge.org/tracker/[tracker-id] +WinMerge 2.11.1.8 + Add Git to Source Control filter and fix ignore file rules (#2329381) + WinMerge 2.11.1.7 - 2008-11-20 (r6112) Add shell context menu to folder compare context menu (#2189315) Update PCRE to version 7.8 (#2209911) Modified: trunk/Filters/SourceControl.flt =================================================================== --- trunk/Filters/SourceControl.flt 2008-11-21 00:22:52 UTC (rev 6118) +++ trunk/Filters/SourceControl.flt 2008-11-25 22:45:40 UTC (rev 6119) @@ -12,15 +12,17 @@ ## Filters for directories begin with d: ## (Inline comments begin with " ##" and extend to the end of the line) -## f: *\.bzrignore$ ## Bazaar ignore file -## f: *\.cvsignore$ ## CVS ignore file -## f: *\.hgignore$ ## Mercurial ignore file -## f: *\.svnignore$ ## Subversion ignore file +## f: \.bzrignore$ ## Bazaar ignore file +## f: \.cvsignore$ ## CVS ignore file +## f: \.gitignore$ ## Git ignore file +## f: \.hgignore$ ## Mercurial ignore file +## f: \.svnignore$ ## Subversion ignore file f: \.(vs[sp])?scc$ ## Visual SourceSafe files d: \\\.svn$ ## Subversion working copy d: \\_svn$ ## Subversion working copy ASP.NET Hack d: \\cvs$ ## CVS control directory +d: \\\.git$ ## Git directory d: \\\.bzr$ ## Bazaar branch d: \\\.hg$ ## Mercurial repository This was sent by the SourceForge.net collaborative development platform, the world's largest Open Source development site. |
From: <ki...@us...> - 2008-11-26 10:52:04
|
Revision: 6121 http://winmerge.svn.sourceforge.net/winmerge/?rev=6121&view=rev Author: kimmov Date: 2008-11-26 10:51:59 +0000 (Wed, 26 Nov 2008) Log Message: ----------- PATCH: [ 2327565 ] Patch for Bug 2309190 Submitted by Chris Paulse Modified Paths: -------------- trunk/Docs/Users/ChangeLog.txt trunk/Docs/Users/Contributors.txt trunk/Src/DirActions.cpp Modified: trunk/Docs/Users/ChangeLog.txt =================================================================== --- trunk/Docs/Users/ChangeLog.txt 2008-11-25 22:47:10 UTC (rev 6120) +++ trunk/Docs/Users/ChangeLog.txt 2008-11-26 10:51:59 UTC (rev 6121) @@ -5,6 +5,7 @@ WinMerge 2.11.1.8 Add Git to Source Control filter and fix ignore file rules (#2329381) + Bugfix: Move confirmation dialog sometimes had wrong paths (#2327565, #2309190) WinMerge 2.11.1.7 - 2008-11-20 (r6112) Add shell context menu to folder compare context menu (#2189315) Modified: trunk/Docs/Users/Contributors.txt =================================================================== --- trunk/Docs/Users/Contributors.txt 2008-11-25 22:47:10 UTC (rev 6120) +++ trunk/Docs/Users/Contributors.txt 2008-11-26 10:51:59 UTC (rev 6121) @@ -155,6 +155,7 @@ * Tim Musschoot * Marco De Paoli * Paul <pav...@us...> +* Chris Paulse <chr...@us...> * Dan Pidcock * Vincent Osele * Scott Rasmussen Modified: trunk/Src/DirActions.cpp =================================================================== --- trunk/Src/DirActions.cpp 2008-11-25 22:47:10 UTC (rev 6120) +++ trunk/Src/DirActions.cpp 2008-11-26 10:51:59 UTC (rev 6121) @@ -748,6 +748,7 @@ act.dirflag = di.diffcode.isDirectory(); act.context = sel; act.atype = actType; + act.UIOrigin = FileActionItem::UI_LEFT; act.UIResult = FileActionItem::UI_DEL_LEFT; actionScript.AddActionItem(act); ++selCount; @@ -816,6 +817,7 @@ act.dirflag = di.diffcode.isDirectory(); act.context = sel; act.atype = actType; + act.UIOrigin = FileActionItem::UI_RIGHT; act.UIResult = FileActionItem::UI_DEL_RIGHT; actionScript.AddActionItem(act); ++selCount; This was sent by the SourceForge.net collaborative development platform, the world's largest Open Source development site. |
From: <ki...@us...> - 2008-11-26 16:22:14
|
Revision: 6124 http://winmerge.svn.sourceforge.net/winmerge/?rev=6124&view=rev Author: kimmov Date: 2008-11-26 16:22:12 +0000 (Wed, 26 Nov 2008) Log Message: ----------- PATCH: [ 2318778 ] A Swedish Swedish.po translation update for the trunk... Submitted by Hans Eriksson. Modified Paths: -------------- trunk/Docs/Users/ChangeLog.txt trunk/Src/Languages/Swedish.po Modified: trunk/Docs/Users/ChangeLog.txt =================================================================== --- trunk/Docs/Users/ChangeLog.txt 2008-11-26 15:47:07 UTC (rev 6123) +++ trunk/Docs/Users/ChangeLog.txt 2008-11-26 16:22:12 UTC (rev 6124) @@ -6,6 +6,8 @@ WinMerge 2.11.1.8 Add Git to Source Control filter and fix ignore file rules (#2329381) Bugfix: Move confirmation dialog sometimes had wrong paths (#2327565, #2309190) + Translation updates: + - Swedish (#2318778) WinMerge 2.11.1.7 - 2008-11-20 (r6112) Add shell context menu to folder compare context menu (#2189315) Modified: trunk/Src/Languages/Swedish.po =================================================================== --- trunk/Src/Languages/Swedish.po 2008-11-26 15:47:07 UTC (rev 6123) +++ trunk/Src/Languages/Swedish.po 2008-11-26 16:22:12 UTC (rev 6124) @@ -1303,7 +1303,7 @@ #: Merge.rc:775 #, c-format msgid "Enable Shell &Context Menu" -msgstr "" +msgstr "Starta Gr\xE4nssnitt och Kontextmeny" #: Merge.rc:777 #, c-format @@ -2049,7 +2049,7 @@ #: Merge.rc:1279 #, c-format msgid "Detect codepage info for these files: .html, .rc, .xml \nneed to restart session" -msgstr "" +msgstr "Detektera Codepage-info f\xF6r dessa filer: .html, .rc, .xml \nbeh\xF6ver omstarta sessionen" #: Merge.rc:1282 #, c-format @@ -2064,7 +2064,7 @@ #: Merge.rc:1286 #, c-format msgid "Custom codepage:" -msgstr "" +msgstr "Anpassad Codepage:" #: Merge.rc:1294 #, c-format @@ -2713,7 +2713,7 @@ #: Merge.rc:1768 #, c-format msgid "Open project file\nProject file (Ctrl+J)" -msgstr "" +msgstr "\xD6ppna projektfil\nProjektfil (Ctrl+J)" #: Merge.rc:1769 #, c-format @@ -3276,7 +3276,7 @@ #: Merge.rc:2054 #, c-format msgid "&Path to SS.EXE:" -msgstr "" +msgstr "&S\xF6kv\xE4g till SS.EXE" #: Merge.rc:2060 #, c-format @@ -3864,12 +3864,12 @@ #: Merge.rc:2254 #, c-format msgid "Left Shell menu" -msgstr "" +msgstr "V\xE4nster Gr\xE4nssnittsmeny" #: Merge.rc:2255 #, c-format msgid "Right Shell menu" -msgstr "" +msgstr "H\xF6ger Gr\xE4nssnittsmeny" #: Merge.rc:2261 #, c-format @@ -4315,7 +4315,7 @@ #: Merge.rc:2408 #, c-format msgid "The EOL are of different types (Windows/Unix/Mac) in the file '%1'.\n With the current options, they will be unified to the main type.\n Do you want to change the options and preserve the different EOL ?" -msgstr "" +msgstr "Radsluten \xE4r av olika typer (Windows/Unix/Mac) i filen '%1'.\n Med de aktuella inst\xE4llningarna, kommer de att bli till den vanligaste typen.\n Vill du \xE4ndra inst\xE4llningarna och bevara de olika radsluten ?" #: Merge.rc:2409 #, c-format @@ -5596,7 +5596,7 @@ #: Merge.rc:2843 #, c-format msgid "Verilog" -msgstr "" +msgstr "Verilog" #: Merge.rc:2844 #, c-format This was sent by the SourceForge.net collaborative development platform, the world's largest Open Source development site. |
From: <ki...@us...> - 2008-11-28 19:58:33
|
Revision: 6134 http://winmerge.svn.sourceforge.net/winmerge/?rev=6134&view=rev Author: kimmov Date: 2008-11-28 19:58:25 +0000 (Fri, 28 Nov 2008) Log Message: ----------- PATCH: [ 2327424 ] Patch for Feature Request 2025423 Submitted by Chris Paulse Modified Paths: -------------- trunk/Docs/Users/ChangeLog.txt trunk/Src/Languages/English.pot trunk/Src/Merge.cpp trunk/Src/Merge.rc trunk/Src/OptionsDef.h trunk/Src/OptionsInit.cpp trunk/Src/PropGeneral.cpp trunk/Src/PropGeneral.h trunk/Src/resource.h Modified: trunk/Docs/Users/ChangeLog.txt =================================================================== --- trunk/Docs/Users/ChangeLog.txt 2008-11-27 23:46:10 UTC (rev 6133) +++ trunk/Docs/Users/ChangeLog.txt 2008-11-28 19:58:25 UTC (rev 6134) @@ -4,6 +4,7 @@ http://winmerge.org/tracker/[tracker-id] WinMerge 2.11.1.8 + Add option to show Open-dialog on WinMerge startup (#2327424) Add Git to Source Control filter and fix ignore file rules (#2329381) Bugfix: Move confirmation dialog sometimes had wrong paths (#2327565, #2309190) Translation updates: Modified: trunk/Src/Languages/English.pot =================================================================== --- trunk/Src/Languages/English.pot 2008-11-27 23:46:10 UTC (rev 6133) +++ trunk/Src/Languages/English.pot 2008-11-28 19:58:25 UTC (rev 6134) @@ -8,7 +8,7 @@ msgstr "" "Project-Id-Version: WinMerge\n" "Report-Msgid-Bugs-To: http://sourceforge.net/tracker/?group_id=13216&atid=113216\n" -"POT-Creation-Date: 2008-11-19 20:55+0000\n" +"POT-Creation-Date: 2008-11-28 21:56+0000\n" "PO-Revision-Date: \n" "Last-Translator: \n" "Language-Team: English <win...@li...>\n" @@ -385,7 +385,7 @@ #: Merge.rc:175 #: Merge.rc:296 #: Merge.rc:484 -#: Merge.rc:1923 +#: Merge.rc:1925 #, c-format msgid "&Help" msgstr "" @@ -716,7 +716,7 @@ msgstr "" #: Merge.rc:370 -#: Merge.rc:2776 +#: Merge.rc:2778 #, c-format msgid "< Empty >" msgstr "" @@ -918,15 +918,15 @@ msgstr "" #: Merge.rc:523 -#: Merge.rc:1143 -#: Merge.rc:1525 +#: Merge.rc:1145 +#: Merge.rc:1527 #, c-format msgid "&Left" msgstr "" #: Merge.rc:524 -#: Merge.rc:1145 -#: Merge.rc:1528 +#: Merge.rc:1147 +#: Merge.rc:1530 #, c-format msgid "&Right" msgstr "" @@ -1078,17 +1078,17 @@ #: Merge.rc:674 #: Merge.rc:706 -#: Merge.rc:798 -#: Merge.rc:984 -#: Merge.rc:1062 -#: Merge.rc:1091 -#: Merge.rc:1101 -#: Merge.rc:1267 -#: Merge.rc:1331 -#: Merge.rc:1344 -#: Merge.rc:1436 -#: Merge.rc:1451 -#: Merge.rc:1673 +#: Merge.rc:800 +#: Merge.rc:986 +#: Merge.rc:1064 +#: Merge.rc:1093 +#: Merge.rc:1103 +#: Merge.rc:1269 +#: Merge.rc:1333 +#: Merge.rc:1346 +#: Merge.rc:1438 +#: Merge.rc:1453 +#: Merge.rc:1675 #, c-format msgid "OK" msgstr "" @@ -1104,39 +1104,39 @@ msgstr "" #: Merge.rc:685 -#: Merge.rc:1602 +#: Merge.rc:1604 #, c-format msgid "&Left:" msgstr "" #: Merge.rc:689 #: Merge.rc:750 -#: Merge.rc:1005 -#: Merge.rc:1027 +#: Merge.rc:1007 +#: Merge.rc:1029 #, c-format msgid "&Browse..." msgstr "" #: Merge.rc:690 -#: Merge.rc:1606 +#: Merge.rc:1608 #, c-format msgid "&Right:" msgstr "" #: Merge.rc:694 -#: Merge.rc:1038 +#: Merge.rc:1040 #, c-format msgid "Bro&wse..." msgstr "" #: Merge.rc:695 -#: Merge.rc:1610 +#: Merge.rc:1612 #, c-format msgid "&Filter:" msgstr "" #: Merge.rc:698 -#: Merge.rc:1612 +#: Merge.rc:1614 #, c-format msgid "Select..." msgstr "" @@ -1152,7 +1152,7 @@ msgstr "" #: Merge.rc:703 -#: Merge.rc:1613 +#: Merge.rc:1615 #, c-format msgid "&Include Subfolders" msgstr "" @@ -1164,25 +1164,25 @@ #: Merge.rc:707 #: Merge.rc:737 -#: Merge.rc:799 -#: Merge.rc:829 -#: Merge.rc:861 -#: Merge.rc:871 -#: Merge.rc:1063 -#: Merge.rc:1092 -#: Merge.rc:1102 -#: Merge.rc:1153 -#: Merge.rc:1268 -#: Merge.rc:1345 -#: Merge.rc:1437 -#: Merge.rc:1452 -#: Merge.rc:1674 +#: Merge.rc:801 +#: Merge.rc:831 +#: Merge.rc:863 +#: Merge.rc:873 +#: Merge.rc:1065 +#: Merge.rc:1094 +#: Merge.rc:1104 +#: Merge.rc:1155 +#: Merge.rc:1270 +#: Merge.rc:1347 +#: Merge.rc:1439 +#: Merge.rc:1454 +#: Merge.rc:1676 #, c-format msgid "Cancel" msgstr "" #: Merge.rc:708 -#: Merge.rc:1346 +#: Merge.rc:1348 #, c-format msgid "Help" msgstr "" @@ -1223,7 +1223,7 @@ msgstr "" #: Merge.rc:733 -#: Merge.rc:876 +#: Merge.rc:878 #, c-format msgid "Apply to all items" msgstr "" @@ -1234,7 +1234,7 @@ msgstr "" #: Merge.rc:736 -#: Merge.rc:872 +#: Merge.rc:874 #, c-format msgid "Save As..." msgstr "" @@ -1250,13 +1250,13 @@ msgstr "" #: Merge.rc:748 -#: Merge.rc:2053 +#: Merge.rc:2055 #, c-format msgid "&Path to cleartool.exe:" msgstr "" #: Merge.rc:755 -#: Merge.rc:1931 +#: Merge.rc:1933 #, c-format msgid "General" msgstr "" @@ -1303,1456 +1303,1459 @@ #: Merge.rc:777 #, c-format -msgid "O&pen-dialog Auto-Completion:" +msgid "Show \"Select Files or Folders\" Dialog on Startup" msgstr "" -#: Merge.rc:780 +#: Merge.rc:779 #, c-format -msgid "Enable multiple compare windows for" +msgid "O&pen-dialog Auto-Completion:" msgstr "" #: Merge.rc:782 -#: Merge.rc:1625 #, c-format -msgid "&Folder compare" +msgid "Enable multiple compare windows for" msgstr "" #: Merge.rc:784 #: Merge.rc:1627 #, c-format -msgid "Fil&e compare" +msgid "&Folder compare" msgstr "" #: Merge.rc:786 +#: Merge.rc:1629 #, c-format -msgid "WinMerge allows hiding some common messageboxes. Press the Reset button to make all messageboxes visible again." +msgid "Fil&e compare" msgstr "" #: Merge.rc:788 #, c-format +msgid "WinMerge allows hiding some common messageboxes. Press the Reset button to make all messageboxes visible again." +msgstr "" + +#: Merge.rc:790 +#, c-format msgid "Reset" msgstr "" -#: Merge.rc:795 +#: Merge.rc:797 #, c-format msgid "Language" msgstr "" -#: Merge.rc:802 +#: Merge.rc:804 #, c-format msgid "Available languages:" msgstr "" -#: Merge.rc:808 +#: Merge.rc:810 #, c-format msgid "Find" msgstr "" -#: Merge.rc:811 -#: Merge.rc:838 +#: Merge.rc:813 +#: Merge.rc:840 #, c-format msgid "Fi&nd what:" msgstr "" -#: Merge.rc:814 -#: Merge.rc:844 +#: Merge.rc:816 +#: Merge.rc:846 #, c-format msgid "Match &whole word only" msgstr "" -#: Merge.rc:816 -#: Merge.rc:846 +#: Merge.rc:818 +#: Merge.rc:848 #, c-format msgid "Match &case" msgstr "" -#: Merge.rc:818 -#: Merge.rc:848 +#: Merge.rc:820 +#: Merge.rc:850 #, c-format msgid "Regular &expression" msgstr "" -#: Merge.rc:820 +#: Merge.rc:822 #, c-format msgid "D&on't wrap end of file" msgstr "" -#: Merge.rc:823 +#: Merge.rc:825 #, c-format msgid "Direction" msgstr "" -#: Merge.rc:824 +#: Merge.rc:826 #, c-format msgid "&Up" msgstr "" -#: Merge.rc:826 +#: Merge.rc:828 #, c-format msgid "&Down" msgstr "" -#: Merge.rc:828 -#: Merge.rc:858 +#: Merge.rc:830 +#: Merge.rc:860 #, c-format msgid "&Find Next" msgstr "" -#: Merge.rc:835 +#: Merge.rc:837 #, c-format msgid "Replace" msgstr "" -#: Merge.rc:841 +#: Merge.rc:843 #, c-format msgid "Re&place with:" msgstr "" -#: Merge.rc:850 +#: Merge.rc:852 #, c-format msgid "&Don't wrap end of file" msgstr "" -#: Merge.rc:853 +#: Merge.rc:855 #, c-format msgid "Replace in" msgstr "" -#: Merge.rc:854 +#: Merge.rc:856 #, c-format msgid "&Selection" msgstr "" -#: Merge.rc:856 +#: Merge.rc:858 #, c-format msgid "Wh&ole file" msgstr "" -#: Merge.rc:859 +#: Merge.rc:861 #, c-format msgid "&Replace" msgstr "" -#: Merge.rc:860 +#: Merge.rc:862 #, c-format msgid "Replace &All" msgstr "" -#: Merge.rc:867 -#: Merge.rc:2174 +#: Merge.rc:869 +#: Merge.rc:2176 #, c-format msgid "Rational ClearCase" msgstr "" -#: Merge.rc:870 +#: Merge.rc:872 #, c-format msgid "CheckOut" msgstr "" -#: Merge.rc:875 -#: Merge.rc:1187 +#: Merge.rc:877 +#: Merge.rc:1189 #, c-format msgid "Comments" msgstr "" -#: Merge.rc:878 +#: Merge.rc:880 #, c-format msgid "CheckIn after checkout" msgstr "" -#: Merge.rc:884 +#: Merge.rc:886 #, c-format msgid "Linefilters" msgstr "" -#: Merge.rc:887 +#: Merge.rc:889 #, c-format msgid "Enable Line Filters" msgstr "" -#: Merge.rc:890 +#: Merge.rc:892 #, c-format msgid "Regular Expressions (one per line):" msgstr "" -#: Merge.rc:897 +#: Merge.rc:899 #, c-format msgid "Save" msgstr "" -#: Merge.rc:898 +#: Merge.rc:900 #, c-format msgid "New" msgstr "" -#: Merge.rc:899 +#: Merge.rc:901 #, c-format msgid "Edit" msgstr "" -#: Merge.rc:900 +#: Merge.rc:902 #, c-format msgid "Remove" msgstr "" -#: Merge.rc:905 -#: Merge.rc:1934 +#: Merge.rc:907 +#: Merge.rc:1936 #, c-format msgid "Colors" msgstr "" -#: Merge.rc:908 +#: Merge.rc:910 #, c-format msgid "Difference:" msgstr "" -#: Merge.rc:912 +#: Merge.rc:914 #, c-format msgid "Selected Difference:" msgstr "" -#: Merge.rc:916 -#: Merge.rc:1577 +#: Merge.rc:918 +#: Merge.rc:1579 #, c-format msgid "Background" msgstr "" -#: Merge.rc:917 +#: Merge.rc:919 #, c-format msgid "Deleted" msgstr "" -#: Merge.rc:930 -#: Merge.rc:1372 -#: Merge.rc:1578 +#: Merge.rc:932 +#: Merge.rc:1374 +#: Merge.rc:1580 #, c-format msgid "Text" msgstr "" -#: Merge.rc:934 +#: Merge.rc:936 #, c-format msgid "Ignored Difference:" msgstr "" -#: Merge.rc:944 +#: Merge.rc:946 #, c-format msgid "Moved:" msgstr "" -#: Merge.rc:954 +#: Merge.rc:956 #, c-format msgid "Selected Moved:" msgstr "" -#: Merge.rc:961 +#: Merge.rc:963 #, c-format msgid "Word Difference:" msgstr "" -#: Merge.rc:968 +#: Merge.rc:970 #, c-format msgid "Selected Word Diff:" msgstr "" -#: Merge.rc:975 -#: Merge.rc:1061 -#: Merge.rc:1090 -#: Merge.rc:1190 -#: Merge.rc:1418 +#: Merge.rc:977 +#: Merge.rc:1063 +#: Merge.rc:1092 +#: Merge.rc:1192 +#: Merge.rc:1420 #, c-format msgid "Defaults" msgstr "" -#: Merge.rc:981 -#: Merge.rc:1360 -#: Merge.rc:1566 +#: Merge.rc:983 +#: Merge.rc:1362 +#: Merge.rc:1568 #, c-format msgid "Dialog" msgstr "" -#: Merge.rc:991 -#: Merge.rc:1937 +#: Merge.rc:993 +#: Merge.rc:1939 #, c-format msgid "System" msgstr "" -#: Merge.rc:994 +#: Merge.rc:996 #, c-format msgid "&Send deleted files to Recycle Bin" msgstr "" -#: Merge.rc:996 +#: Merge.rc:998 #, c-format msgid "Integration" msgstr "" -#: Merge.rc:997 +#: Merge.rc:999 #, c-format msgid "&Add to explorer context menu" msgstr "" -#: Merge.rc:999 +#: Merge.rc:1001 #, c-format msgid "E&nable advanced menu" msgstr "" -#: Merge.rc:1001 +#: Merge.rc:1003 #, c-format msgid "In&clude subfolders by default" msgstr "" -#: Merge.rc:1003 +#: Merge.rc:1005 #, c-format msgid "&External editor:" msgstr "" -#: Merge.rc:1006 +#: Merge.rc:1008 #, c-format msgid "&Filter folder:" msgstr "" -#: Merge.rc:1008 +#: Merge.rc:1010 #, c-format msgid "B&rowse..." msgstr "" -#: Merge.rc:1009 +#: Merge.rc:1011 #, c-format msgid "Temporary files folder" msgstr "" -#: Merge.rc:1010 +#: Merge.rc:1012 #, c-format msgid "S&ystem's temp folder" msgstr "" -#: Merge.rc:1012 +#: Merge.rc:1014 #, c-format msgid "C&ustom folder:" msgstr "" -#: Merge.rc:1015 -#: Merge.rc:1031 +#: Merge.rc:1017 +#: Merge.rc:1033 #, c-format msgid "Br&owse..." msgstr "" -#: Merge.rc:1021 +#: Merge.rc:1023 #, c-format msgid "Patch Generator" msgstr "" -#: Merge.rc:1024 +#: Merge.rc:1026 #, c-format msgid "File&1:" msgstr "" -#: Merge.rc:1028 +#: Merge.rc:1030 #, c-format msgid "File&2:" msgstr "" -#: Merge.rc:1032 +#: Merge.rc:1034 #, c-format msgid "&Swap" msgstr "" -#: Merge.rc:1033 +#: Merge.rc:1035 #, c-format msgid "&Append to existing file" msgstr "" -#: Merge.rc:1035 +#: Merge.rc:1037 #, c-format msgid "&Result:" msgstr "" -#: Merge.rc:1039 +#: Merge.rc:1041 #, c-format msgid "&Format" msgstr "" -#: Merge.rc:1040 +#: Merge.rc:1042 #, c-format msgid "St&yle:" msgstr "" -#: Merge.rc:1043 +#: Merge.rc:1045 #, c-format msgid "&Context:" msgstr "" -#: Merge.rc:1046 +#: Merge.rc:1048 #, c-format msgid "W&hitespaces" msgstr "" -#: Merge.rc:1047 +#: Merge.rc:1049 #, c-format msgid "Com&pare" msgstr "" -#: Merge.rc:1049 +#: Merge.rc:1051 #, c-format msgid "I&gnore changes" msgstr "" -#: Merge.rc:1051 +#: Merge.rc:1053 #, c-format msgid "Ig&nore all" msgstr "" -#: Merge.rc:1053 +#: Merge.rc:1055 #, c-format msgid "Ignor&e blank lines" msgstr "" -#: Merge.rc:1055 +#: Merge.rc:1057 #, c-format msgid "Case sensi&tive" msgstr "" -#: Merge.rc:1057 +#: Merge.rc:1059 #, c-format msgid "Inclu&de command line" msgstr "" -#: Merge.rc:1059 +#: Merge.rc:1061 #, c-format msgid "Open to e&xternal editor" msgstr "" -#: Merge.rc:1081 +#: Merge.rc:1083 #, c-format msgid "Display Columns" msgstr "" -#: Merge.rc:1087 +#: Merge.rc:1089 #, c-format msgid "Move &Up" msgstr "" -#: Merge.rc:1088 +#: Merge.rc:1090 #, c-format msgid "Move &Down" msgstr "" -#: Merge.rc:1098 +#: Merge.rc:1100 #, c-format msgid "Select Unpacker" msgstr "" -#: Merge.rc:1103 +#: Merge.rc:1105 #, c-format msgid "File unpacker:" msgstr "" -#: Merge.rc:1106 +#: Merge.rc:1108 #, c-format msgid "Display all unpackers, don't check the extension" msgstr "" -#: Merge.rc:1109 +#: Merge.rc:1111 #, c-format msgid "Extensions list:" msgstr "" -#: Merge.rc:1110 +#: Merge.rc:1112 #, c-format msgid "Description:" msgstr "" -#: Merge.rc:1121 +#: Merge.rc:1123 #, c-format msgid " Folder Compare Progress" msgstr "" -#: Merge.rc:1124 -#: Merge.rc:1884 +#: Merge.rc:1126 +#: Merge.rc:1886 #, c-format msgid "Stop" msgstr "" -#: Merge.rc:1129 +#: Merge.rc:1131 #, c-format msgid "Comparing items..." msgstr "" -#: Merge.rc:1130 +#: Merge.rc:1132 #, c-format msgid "Items compared:" msgstr "" -#: Merge.rc:1131 +#: Merge.rc:1133 #, c-format msgid "Items total:" msgstr "" -#: Merge.rc:1137 +#: Merge.rc:1139 #, c-format msgid "Go To" msgstr "" -#: Merge.rc:1140 +#: Merge.rc:1142 #, c-format msgid "G&oto:" msgstr "" -#: Merge.rc:1142 +#: Merge.rc:1144 #, c-format msgid "File" msgstr "" -#: Merge.rc:1147 +#: Merge.rc:1149 #, c-format msgid "Goto what" msgstr "" -#: Merge.rc:1148 +#: Merge.rc:1150 #, c-format msgid "Li&ne" msgstr "" -#: Merge.rc:1150 +#: Merge.rc:1152 #, c-format msgid "&Difference" msgstr "" -#: Merge.rc:1152 +#: Merge.rc:1154 #, c-format msgid "&Go To" msgstr "" -#: Merge.rc:1158 -#: Merge.rc:1932 +#: Merge.rc:1160 +#: Merge.rc:1934 #, c-format msgid "Compare" msgstr "" -#: Merge.rc:1161 +#: Merge.rc:1163 #, c-format msgid "Whitespace" msgstr "" -#: Merge.rc:1162 +#: Merge.rc:1164 #, c-format msgid "&Compare" msgstr "" -#: Merge.rc:1164 +#: Merge.rc:1166 #, c-format msgid "&Ignore change" msgstr "" -#: Merge.rc:1166 +#: Merge.rc:1168 #, c-format msgid "I&gnore all" msgstr "" -#: Merge.rc:1168 +#: Merge.rc:1170 #, c-format msgid "Ignore blan&k lines" msgstr "" -#: Merge.rc:1170 +#: Merge.rc:1172 #, c-format msgid "Ignore &case" msgstr "" -#: Merge.rc:1172 +#: Merge.rc:1174 #, c-format msgid "Igno&re carriage return differences (Windows/Unix/Mac)" msgstr "" -#: Merge.rc:1175 +#: Merge.rc:1177 #, c-format msgid "E&nable moved block detection" msgstr "" -#: Merge.rc:1177 +#: Merge.rc:1179 #, c-format msgid "&Match similar lines" msgstr "" -#: Merge.rc:1179 +#: Merge.rc:1181 #, c-format msgid "Ign&ore time differences less than 3 seconds" msgstr "" -#: Merge.rc:1182 +#: Merge.rc:1184 #, c-format msgid "&File Compare method:" msgstr "" -#: Merge.rc:1185 +#: Merge.rc:1187 #, c-format msgid "S&top after first difference" msgstr "" -#: Merge.rc:1188 +#: Merge.rc:1190 #, c-format msgid "Filter Comments" msgstr "" -#: Merge.rc:1195 -#: Merge.rc:1933 +#: Merge.rc:1197 +#: Merge.rc:1935 #, c-format msgid "Editor" msgstr "" -#: Merge.rc:1198 +#: Merge.rc:1200 #, c-format msgid "&Highlight syntax" msgstr "" -#: Merge.rc:1200 +#: Merge.rc:1202 #, c-format msgid "&Automatic rescan" msgstr "" -#: Merge.rc:1202 +#: Merge.rc:1204 #, c-format msgid "&Preserve original EOL chars" msgstr "" -#: Merge.rc:1204 +#: Merge.rc:1206 #, c-format msgid "Tabs" msgstr "" -#: Merge.rc:1205 +#: Merge.rc:1207 #, c-format msgid "&Tab size:" msgstr "" -#: Merge.rc:1208 +#: Merge.rc:1210 #, c-format msgid "&Insert Tabs" msgstr "" -#: Merge.rc:1210 +#: Merge.rc:1212 #, c-format msgid "Insert &Spaces" msgstr "" -#: Merge.rc:1212 +#: Merge.rc:1214 #, c-format msgid "Line Difference Coloring" msgstr "" -#: Merge.rc:1214 +#: Merge.rc:1216 #, c-format msgid "View line differences" msgstr "" -#: Merge.rc:1216 +#: Merge.rc:1218 #, c-format msgid "&Character level" msgstr "" -#: Merge.rc:1218 +#: Merge.rc:1220 #, c-format msgid "&Word-level:" msgstr "" -#: Merge.rc:1233 +#: Merge.rc:1235 #, c-format msgid "Filefilters" msgstr "" -#: Merge.rc:1239 +#: Merge.rc:1241 #, c-format msgid "Test" msgstr "" -#: Merge.rc:1240 +#: Merge.rc:1242 #, c-format msgid "Install..." msgstr "" -#: Merge.rc:1241 +#: Merge.rc:1243 #, c-format msgid "New..." msgstr "" -#: Merge.rc:1242 +#: Merge.rc:1244 #, c-format msgid "Edit..." msgstr "" -#: Merge.rc:1243 +#: Merge.rc:1245 #, c-format msgid "Delete..." msgstr "" -#: Merge.rc:1249 +#: Merge.rc:1251 #, c-format msgid "Save modified files?" msgstr "" -#: Merge.rc:1252 +#: Merge.rc:1254 #, c-format msgid "Left side file" msgstr "" -#: Merge.rc:1255 +#: Merge.rc:1257 #, c-format msgid "&Save changes" msgstr "" -#: Merge.rc:1257 +#: Merge.rc:1259 #, c-format msgid "&Discard changes" msgstr "" -#: Merge.rc:1259 +#: Merge.rc:1261 #, c-format msgid "Right side file" msgstr "" -#: Merge.rc:1262 +#: Merge.rc:1264 #, c-format msgid "S&ave changes" msgstr "" -#: Merge.rc:1264 +#: Merge.rc:1266 #, c-format msgid "Dis&card changes" msgstr "" -#: Merge.rc:1266 +#: Merge.rc:1268 #, c-format msgid "Discard All" msgstr "" -#: Merge.rc:1273 -#: Merge.rc:1521 -#: Merge.rc:1939 +#: Merge.rc:1275 +#: Merge.rc:1523 +#: Merge.rc:1941 #, c-format msgid "Codepage" msgstr "" -#: Merge.rc:1276 +#: Merge.rc:1278 #, c-format msgid "Default Codepage" msgstr "" -#: Merge.rc:1277 +#: Merge.rc:1279 #, c-format msgid "Select the default codepage assumed when loading non-Unicode files:" msgstr "" -#: Merge.rc:1279 +#: Merge.rc:1281 #, c-format msgid "Detect codepage info for these files: .html, .rc, .xml \nneed to restart session" msgstr "" -#: Merge.rc:1282 +#: Merge.rc:1284 #, c-format msgid "System codepage" msgstr "" -#: Merge.rc:1284 +#: Merge.rc:1286 #, c-format msgid "According to WinMerge User Interface" msgstr "" -#: Merge.rc:1286 +#: Merge.rc:1288 #, c-format msgid "Custom codepage:" msgstr "" -#: Merge.rc:1294 +#: Merge.rc:1296 #, c-format msgid "WinMerge - Archive support disabled" msgstr "" -#: Merge.rc:1297 +#: Merge.rc:1299 #, c-format msgid "Unable to detect 7-Zip version (not installed?)" msgstr "" -#: Merge.rc:1300 +#: Merge.rc:1302 #, c-format msgid "Archive support requires 7-Zip 3.11 or later to be installed on your computer, or its\nessential components to be copied to the program directory for standalone operation." msgstr "" -#: Merge.rc:1302 +#: Merge.rc:1304 #, c-format msgid "There must also be an appropriate interface plugin (Merge7z*.dll) on the path." msgstr "" -#: Merge.rc:1304 +#: Merge.rc:1306 #, c-format msgid "Please use the version of 7-Zip recommended below until interface plugins for later\nversions become available." msgstr "" -#: Merge.rc:1306 +#: Merge.rc:1308 #, c-format msgid "Version" msgstr "" -#: Merge.rc:1308 +#: Merge.rc:1310 #, c-format msgid "Plugin required\n(dllbuild %04u)" msgstr "" -#: Merge.rc:1310 +#: Merge.rc:1312 #, c-format msgid "Recommended version of 7-Zip:" msgstr "" -#: Merge.rc:1313 -#: Merge.rc:1316 +#: Merge.rc:1315 +#: Merge.rc:1318 #, c-format msgid "present" msgstr "" -#: Merge.rc:1314 +#: Merge.rc:1316 #, c-format msgid "Merge7z000U.dll" msgstr "" -#: Merge.rc:1317 +#: Merge.rc:1319 #, c-format msgid "7-Zip software installed on your computer:" msgstr "" -#: Merge.rc:1319 -#: Merge.rc:1324 +#: Merge.rc:1321 +#: Merge.rc:1326 #, c-format msgid "none" msgstr "" -#: Merge.rc:1321 +#: Merge.rc:1323 #, c-format msgid "missing" msgstr "" -#: Merge.rc:1322 +#: Merge.rc:1324 #, c-format msgid "7-Zip components for standalone operation:" msgstr "" -#: Merge.rc:1326 +#: Merge.rc:1328 #, c-format msgid "outdated" msgstr "" -#: Merge.rc:1327 +#: Merge.rc:1329 #, c-format msgid "Plugins on path:" msgstr "" -#: Merge.rc:1328 +#: Merge.rc:1330 #, c-format msgid "Don't display this &message again.\n(You can always recall this message from the Help menu.)" msgstr "" -#: Merge.rc:1332 +#: Merge.rc:1334 #, c-format msgid "Plugin Download" msgstr "" -#: Merge.rc:1341 +#: Merge.rc:1343 #, c-format msgid "Options" msgstr "" -#: Merge.rc:1352 +#: Merge.rc:1354 #, c-format msgid " Categories" msgstr "" -#: Merge.rc:1354 +#: Merge.rc:1356 #, c-format msgid "Import..." msgstr "" -#: Merge.rc:1355 +#: Merge.rc:1357 #, c-format msgid "Export..." msgstr "" -#: Merge.rc:1363 +#: Merge.rc:1365 #, c-format msgid "Keywords:" msgstr "" -#: Merge.rc:1364 +#: Merge.rc:1366 #, c-format msgid "Function names:" msgstr "" -#: Merge.rc:1365 +#: Merge.rc:1367 #, c-format msgid "Comments:" msgstr "" -#: Merge.rc:1366 +#: Merge.rc:1368 #, c-format msgid "Numbers:" msgstr "" -#: Merge.rc:1367 +#: Merge.rc:1369 #, c-format msgid "Operators:" msgstr "" -#: Merge.rc:1368 +#: Merge.rc:1370 #, c-format msgid "Strings:" msgstr "" -#: Merge.rc:1369 +#: Merge.rc:1371 #, c-format msgid "Preprocessor:" msgstr "" -#: Merge.rc:1370 +#: Merge.rc:1372 #, c-format msgid "User 1:" msgstr "" -#: Merge.rc:1371 +#: Merge.rc:1373 #, c-format msgid "User 2:" msgstr "" -#: Merge.rc:1376 -#: Merge.rc:1381 -#: Merge.rc:1386 -#: Merge.rc:1391 -#: Merge.rc:1396 -#: Merge.rc:1401 -#: Merge.rc:1406 -#: Merge.rc:1411 -#: Merge.rc:1416 +#: Merge.rc:1378 +#: Merge.rc:1383 +#: Merge.rc:1388 +#: Merge.rc:1393 +#: Merge.rc:1398 +#: Merge.rc:1403 +#: Merge.rc:1408 +#: Merge.rc:1413 +#: Merge.rc:1418 #, c-format msgid "Bold" msgstr "" -#: Merge.rc:1424 +#: Merge.rc:1426 #, c-format msgid "Folder Compare Report" msgstr "" -#: Merge.rc:1427 +#: Merge.rc:1429 #, c-format msgid "Report &File:" msgstr "" -#: Merge.rc:1430 -#: Merge.rc:1604 -#: Merge.rc:1608 -#: Merge.rc:1635 +#: Merge.rc:1432 +#: Merge.rc:1606 +#: Merge.rc:1610 +#: Merge.rc:1637 #, c-format msgid "Browse..." msgstr "" -#: Merge.rc:1431 +#: Merge.rc:1433 #, c-format msgid "&Style:" msgstr "" -#: Merge.rc:1434 +#: Merge.rc:1436 #, c-format msgid "&Copy to Clipboard" msgstr "" -#: Merge.rc:1442 +#: Merge.rc:1444 #, c-format msgid "Shared or Private Filter" msgstr "" -#: Merge.rc:1445 +#: Merge.rc:1447 #, c-format msgid "Which type of filter do you want to create?" msgstr "" -#: Merge.rc:1447 +#: Merge.rc:1449 #, c-format msgid "Shared Filter (for all users on this machine)" msgstr "" -#: Merge.rc:1449 +#: Merge.rc:1451 #, c-format msgid "Private Filter (only for current user)" msgstr "" -#: Merge.rc:1457 -#: Merge.rc:1940 +#: Merge.rc:1459 +#: Merge.rc:1942 #, c-format msgid "Archive Support" msgstr "" -#: Merge.rc:1460 +#: Merge.rc:1462 #, c-format msgid "Archive support requires 7-Zip plugin installed:" msgstr "" -#: Merge.rc:1462 +#: Merge.rc:1464 #, c-format msgid "Download 7-zip Plugin" msgstr "" -#: Merge.rc:1463 +#: Merge.rc:1465 #, c-format msgid "&Enable archive file support" msgstr "" -#: Merge.rc:1465 +#: Merge.rc:1467 #, c-format msgid "&Use stand-alone 7-Zip if available" msgstr "" -#: Merge.rc:1468 +#: Merge.rc:1470 #, c-format msgid "U&se local 7-Zip from WinMerge folder" msgstr "" -#: Merge.rc:1470 +#: Merge.rc:1472 #, c-format msgid "&Detect archive type from file signature" msgstr "" -#: Merge.rc:1478 +#: Merge.rc:1480 #, c-format msgid "Compare Statistics" msgstr "" -#: Merge.rc:1481 +#: Merge.rc:1483 #, c-format msgid "Folders:" msgstr "" -#: Merge.rc:1482 +#: Merge.rc:1484 #, c-format msgid "Files:" msgstr "" -#: Merge.rc:1483 -#: Merge.rc:2328 +#: Merge.rc:1485 +#: Merge.rc:2330 #, c-format msgid "Different" msgstr "" -#: Merge.rc:1484 +#: Merge.rc:1486 #, c-format msgid "Text:" msgstr "" -#: Merge.rc:1487 -#: Merge.rc:1506 +#: Merge.rc:1489 +#: Merge.rc:1508 #, c-format msgid "Binary:" msgstr "" -#: Merge.rc:1490 +#: Merge.rc:1492 #, c-format msgid "Unique" msgstr "" -#: Merge.rc:1491 +#: Merge.rc:1493 #, c-format msgid "Left:" msgstr "" -#: Merge.rc:1496 +#: Merge.rc:1498 #, c-format msgid "Right:" msgstr "" -#: Merge.rc:1501 -#: Merge.rc:2322 +#: Merge.rc:1503 +#: Merge.rc:2324 #, c-format msgid "Identical" msgstr "" -#: Merge.rc:1510 +#: Merge.rc:1512 #, c-format msgid "Total:" msgstr "" -#: Merge.rc:1515 +#: Merge.rc:1517 #, c-format msgid "Close" msgstr "" -#: Merge.rc:1524 +#: Merge.rc:1526 #, c-format msgid "Affects" msgstr "" -#: Merge.rc:1527 -#: Merge.rc:1530 +#: Merge.rc:1529 +#: Merge.rc:1532 #, c-format msgid "(Affects)" msgstr "" -#: Merge.rc:1531 +#: Merge.rc:1533 #, c-format msgid "Select Codepage for" msgstr "" -#: Merge.rc:1532 +#: Merge.rc:1534 #, c-format msgid "&File Loading:" msgstr "" -#: Merge.rc:1534 -#: Merge.rc:1537 +#: Merge.rc:1536 +#: Merge.rc:1539 #, c-format msgid "..." msgstr "" -#: Merge.rc:1535 +#: Merge.rc:1537 #, c-format msgid "File &Saving:" msgstr "" -#: Merge.rc:1538 +#: Merge.rc:1540 #, c-format msgid "&Use same codepage for both" msgstr "" -#: Merge.rc:1541 +#: Merge.rc:1543 #, c-format msgid "&Apply" msgstr "" -#: Merge.rc:1542 -#: Merge.rc:1906 +#: Merge.rc:1544 +#: Merge.rc:1908 #, c-format msgid "&Cancel" msgstr "" -#: Merge.rc:1547 +#: Merge.rc:1549 #, c-format msgid "Test Filter" msgstr "" -#: Merge.rc:1550 +#: Merge.rc:1552 #, c-format msgid "Testing filter ..." msgstr "" -#: Merge.rc:1552 +#: Merge.rc:1554 #, c-format msgid "&Enter text to test:" msgstr "" -#: Merge.rc:1554 +#: Merge.rc:1556 #, c-format msgid "&Folder Name" msgstr "" -#: Merge.rc:1556 +#: Merge.rc:1558 #, c-format msgid "Result:" msgstr "" -#: Merge.rc:1560 +#: Merge.rc:1562 #, c-format msgid "&Test" msgstr "" -#: Merge.rc:1561 +#: Merge.rc:1563 #, c-format msgid "&Close" msgstr "" -#: Merge.rc:1569 +#: Merge.rc:1571 #, c-format msgid "&Use customized text colors" msgstr "" -#: Merge.rc:1572 +#: Merge.rc:1574 #, c-format msgid "Custom text colors" msgstr "" -#: Merge.rc:1574 +#: Merge.rc:1576 #, c-format msgid "Whitespace:" msgstr "" -#: Merge.rc:1575 +#: Merge.rc:1577 #, c-format msgid "Regular text:" msgstr "" -#: Merge.rc:1576 +#: Merge.rc:1578 #, c-format msgid "Selection:" msgstr "" -#: Merge.rc:1599 +#: Merge.rc:1601 #, c-format msgid "Paths" msgstr "" -#: Merge.rc:1605 +#: Merge.rc:1607 #, c-format msgid "R&ead-only" msgstr "" -#: Merge.rc:1609 +#: Merge.rc:1611 #, c-format msgid "Re&ad-only" msgstr "" -#: Merge.rc:1615 +#: Merge.rc:1617 #, c-format msgid "Open..." msgstr "" -#: Merge.rc:1616 +#: Merge.rc:1618 #, c-format msgid "Save..." msgstr "" -#: Merge.rc:1621 -#: Merge.rc:1941 +#: Merge.rc:1623 +#: Merge.rc:1943 #, c-format msgid "Backup Files" msgstr "" -#: Merge.rc:1624 +#: Merge.rc:1626 #, c-format msgid "Create backup files in:" msgstr "" -#: Merge.rc:1629 +#: Merge.rc:1631 #, c-format msgid "Create backup files into:" msgstr "" -#: Merge.rc:1630 +#: Merge.rc:1632 #, c-format msgid "&Original file's folder" msgstr "" -#: Merge.rc:1632 +#: Merge.rc:1634 #, c-format msgid "&Global backup folder:" msgstr "" -#: Merge.rc:1636 +#: Merge.rc:1638 #, c-format msgid "Backup filename:" msgstr "" -#: Merge.rc:1637 +#: Merge.rc:1639 #, c-format msgid "&Append .bak -extension" msgstr "" -#: Merge.rc:1639 +#: Merge.rc:1641 #, c-format msgid "A&ppend timestamp" msgstr "" -#: Merge.rc:1646 -#: Merge.rc:2230 +#: Merge.rc:1648 +#: Merge.rc:2232 #, c-format msgid "Confirm Copy" msgstr "" -#: Merge.rc:1650 +#: Merge.rc:1652 #, c-format msgid "Are you sure you want to copy XXX items?" msgstr "" -#: Merge.rc:1652 +#: Merge.rc:1654 #, c-format msgid "From left" msgstr "" -#: Merge.rc:1655 +#: Merge.rc:1657 #, c-format msgid "To right" msgstr "" -#: Merge.rc:1658 +#: Merge.rc:1660 #, c-format msgid "Yes" msgstr "" -#: Merge.rc:1659 +#: Merge.rc:1661 #, c-format msgid "No" msgstr "" -#: Merge.rc:1665 +#: Merge.rc:1667 #, c-format msgid "Plugins" msgstr "" -#: Merge.rc:1668 +#: Merge.rc:1670 #, c-format msgid "&Enable plugins" msgstr "" #. StringFileInfo.Block -#: Merge.rc:1698 +#: Merge.rc:1700 #, c-format msgid "040904e4" msgstr "" #. VarFileInfo.Translation -#: Merge.rc:1714 +#: Merge.rc:1716 #, c-format msgid "0x409, 1252" msgstr "" -#: Merge.rc:1729 +#: Merge.rc:1731 #, c-format msgid "Ready" msgstr "" -#: Merge.rc:1735 +#: Merge.rc:1737 #, c-format msgid "EXT" msgstr "" -#: Merge.rc:1736 +#: Merge.rc:1738 #, c-format msgid "CAP" msgstr "" -#: Merge.rc:1737 +#: Merge.rc:1739 #, c-format msgid "NUM" msgstr "" -#: Merge.rc:1738 +#: Merge.rc:1740 #, c-format msgid "SCRL" msgstr "" -#: Merge.rc:1739 +#: Merge.rc:1741 #, c-format msgid "OVR" msgstr "" -#: Merge.rc:1740 +#: Merge.rc:1742 #, c-format msgid "REC" msgstr "" -#: Merge.rc:1746 +#: Merge.rc:1748 #, c-format msgid "Create empty documents\nNew Documents (Ctrl+N)" msgstr "" -#: Merge.rc:1747 +#: Merge.rc:1749 #, c-format msgid "Open an existing document\nOpen (Ctrl+O)" msgstr "" -#: Merge.rc:1748 +#: Merge.rc:1750 #, c-format msgid "Close the active document\nClose" msgstr "" -#: Merge.rc:1749 +#: Merge.rc:1751 #, c-format msgid "Save the active document\nSave (Ctrl+S)" msgstr "" -#: Merge.rc:1750 +#: Merge.rc:1752 #, c-format msgid "Save the active document with a new name\nSave As" msgstr "" -#: Merge.rc:1751 +#: Merge.rc:1753 #, c-format msgid "Change the printing options\nPage Setup" msgstr "" -#: Merge.rc:1752 +#: Merge.rc:1754 #, c-format msgid "Change the printer and printing options\nPrint Setup" msgstr "" -#: Merge.rc:1753 +#: Merge.rc:1755 #, c-format msgid "Print the active document\nPrint" msgstr "" -#: Merge.rc:1754 +#: Merge.rc:1756 #, c-format msgid "Display full pages\nPrint Preview" msgstr "" -#: Merge.rc:1759 +#: Merge.rc:1761 #, c-format msgid "Make all hidden items visible again" msgstr "" -#: Merge.rc:1760 +#: Merge.rc:1762 #, c-format msgid "Toggle tree mode" msgstr "" -#: Merge.rc:1761 +#: Merge.rc:1763 #, c-format msgid "Expand all subfolders" msgstr "" -#: Merge.rc:1762 +#: Merge.rc:1764 #, c-format msgid "Collapse all subfolders" msgstr "" -#: Merge.rc:1768 +#: Merge.rc:1770 #, c-format msgid "Open project file\nProject file (Ctrl+J)" msgstr "" -#: Merge.rc:1769 +#: Merge.rc:1771 #, c-format msgid "Unknown error attempting to open project file" msgstr "" -#: Merge.rc:1770 +#: Merge.rc:1772 #, c-format msgid "Unknown error attempting to save project file" msgstr "" -#: Merge.rc:1771 +#: Merge.rc:1773 #, c-format msgid "Project File" msgstr "" -#: Merge.rc:1772 +#: Merge.rc:1774 #, c-format msgid "Project file successfully loaded." msgstr "" -#: Merge.rc:1773 +#: Merge.rc:1775 #, c-format msgid "Project file successfully saved." msgstr "" -#: Merge.rc:1774 +#: Merge.rc:1776 #, c-format msgid "Save current paths and options to project file\nSave Project File" msgstr "" -#: Merge.rc:1779 +#: Merge.rc:1781 #, c-format msgid "Display program information, version number and copyright\nAbout" msgstr "" -#: Merge.rc:1780 +#: Merge.rc:1782 #, c-format msgid "Quit the application; prompts to save documents\nExit" msgstr "" -#: Merge.rc:1786 -#: Merge.rc:1787 #: Merge.rc:1788 #: Merge.rc:1789 #: Merge.rc:1790 @@ -2767,2849 +2770,2851 @@ #: Merge.rc:1799 #: Merge.rc:1800 #: Merge.rc:1801 +#: Merge.rc:1802 +#: Merge.rc:1803 #, c-format msgid "Open this document" msgstr "" -#: Merge.rc:1806 +#: Merge.rc:1808 #, c-format msgid "Switch to the next window pane\nNext Pane" msgstr "" -#: Merge.rc:1807 +#: Merge.rc:1809 #, c-format msgid "Switch back to the previous window pane\nPrevious Pane" msgstr "" -#: Merge.rc:1813 +#: Merge.rc:1815 #, c-format msgid "Arrange windows so they overlap\nCascade Windows" msgstr "" -#: Merge.rc:1814 +#: Merge.rc:1816 #, c-format msgid "Arrange windows as non-overlapping tiles horizontally\nTile Windows Horizontally" msgstr "" -#: Merge.rc:1815 +#: Merge.rc:1817 #, c-format msgid "Arrange windows as non-overlapping tiles vertically\nTile Windows Vertically" msgstr "" -#: Merge.rc:1821 +#: Merge.rc:1823 #, c-format msgid "Copy the selection and put it on the Clipboard\nCopy" msgstr "" -#: Merge.rc:1822 +#: Merge.rc:1824 #, c-format msgid "Cut the selection and put it on the Clipboard\nCut" msgstr "" -#: Merge.rc:1823 +#: Merge.rc:1825 #, c-format msgid "Find the specified text\nFind" msgstr "" -#: Merge.rc:1824 +#: Merge.rc:1826 #, c-format msgid "Insert Clipboard contents\nPaste" msgstr "" -#: Merge.rc:1825 +#: Merge.rc:1827 #, c-format msgid "Repeat the last action\nRepeat" msgstr "" -#: Merge.rc:1826 +#: Merge.rc:1828 #, c-format msgid "Replace specific text with different text\nReplace" msgstr "" -#: Merge.rc:1827 +#: Merge.rc:1829 #, c-format msgid "Select the entire document\nSelect All" msgstr "" -#: Merge.rc:1828 +#: Merge.rc:1830 #, c-format msgid "Undo the last action\nUndo (Ctrl+Z)" msgstr "" -#: Merge.rc:1829 +#: Merge.rc:1831 #, c-format msgid "Redo the previously undone action\nRedo (Ctrl+Y)" msgstr "" -#: Merge.rc:1835 +#: Merge.rc:1837 #, c-format msgid "Show or hide the toolbar\nToggle ToolBar" msgstr "" -#: Merge.rc:1836 +#: Merge.rc:1838 #, c-format msgid "Show or hide the status bar\nToggle StatusBar" msgstr "" -#: Merge.rc:1837 +#: Merge.rc:1839 #, c-format msgid "Show or hide the tab bar\nToggle TabBar" msgstr "" -#: Merge.rc:1838 +#: Merge.rc:1840 #, c-format msgid "Automatically resize panes\nLock Panes" msgstr "" -#: Merge.rc:1844 +#: Merge.rc:1846 #, c-format msgid "Change the window size" msgstr "" -#: Merge.rc:1845 +#: Merge.rc:1847 #, c-format msgid "Change the window position" msgstr "" -#: Merge.rc:1846 +#: Merge.rc:1848 #, c-format msgid "Reduce the window to an icon" msgstr "" -#: Merge.rc:1847 +#: Merge.rc:1849 #, c-format msgid "Enlarge the window to full size" msgstr "" -#: Merge.rc:1848 +#: Merge.rc:1850 #, c-format msgid "Switch to the next document window" msgstr "" -#: Merge.rc:1849 +#: Merge.rc:1851 #, c-format msgid "Switch to the previous document window" msgstr "" -#: Merge.rc:1850 +#: Merge.rc:1852 #, c-format msgid "Close the active window and prompts to save the documents" msgstr "" -#: Merge.rc:1855 +#: Merge.rc:1857 #, c-format msgid "Restore the window to normal size" msgstr "" -#: Merge.rc:1856 +#: Merge.rc:1858 #, c-format msgid "Activate Task List" msgstr "" -#: Merge.rc:1857 +#: Merge.rc:1859 #, c-format msgid "Activate this window" msgstr "" -#: Merge.rc:1863 +#: Merge.rc:1865 #, c-format msgid "Close print preview mode\nCancel Preview" msgstr "" -#: Merge.rc:1870 +#: Merge.rc:1872 #, c-format msgid "\nFileCompare\n\n\n\nWinMerge.FileCompare\nWinMerge File Compare" msgstr "" -#: Merge.rc:1871 +#: Merge.rc:1873 #, c-format msgid "\nFolderCompare\n\n\n\nWinMerge.FolderCompare\nWinMerge Folder Compare" msgstr "" -#: Merge.rc:1878 +#: Merge.rc:1880 #, c-format msgid "Preserve original EOL chars" msgstr "" -#: Merge.rc:1885 +#: Merge.rc:1887 #, c-format msgid "Press any key" msgstr "" -#: Merge.rc:1891 -#: Merge.rc:1892 +#: Merge.rc:1893 +#: Merge.rc:1894 #, c-format msgid "Right click on the path to copy" msgstr "" -#: Merge.rc:1898 +#: Merge.rc:1900 #, c-format msgid "Developers:\nDean Grimm, Christian List, Kimmo Varis, Jochen Tucht, Tim Gerundt, Takashi Sawanaki, Gal Hammer, Alexander Skinner" msgstr "" -#: Merge.rc:1899 +#: Merge.rc:1901 #, c-format msgid "WinMerge comes with ABSOLUTELY NO WARRANTY. This is free software and you are welcome to redistribute it under certain circumstances; see the GNU General Public License in the Help menu for details." msgstr "" -#: Merge.rc:1905 +#: Merge.rc:1907 #, c-format msgid "&Ok" msgstr "" -#: Merge.rc:1907 +#: Merge.rc:1909 #, c-format msgid "&Abort" msgstr "" -#: Merge.rc:1908 +#: Merge.rc:1910 #, c-format msgid "&Retry" msgstr "" -#: Merge.rc:1909 +#: Merge.rc:1911 #, c-format msgid "&Ignore" msgstr "" -#: Merge.rc:1910 +#: Merge.rc:1912 #, c-format msgid "Ignore &all" msgstr "" -#: Merge.rc:1911 +#: Merge.rc:1913 #, c-format msgid "&Yes" msgstr "" -#: Merge.rc:1917 +#: Merge.rc:1919 #, c-format msgid "Yes to &all" msgstr "" -#: Merge.rc:1918 +#: Merge.rc:1920 #, c-format msgid "&No" msgstr "" -#: Merge.rc:1919 +#: Merge.rc:1921 #, c-format msgid "No to a&ll" msgstr "" -#: Merge.rc:1920 +#: Merge.rc:1922 #, c-format msgid "&Continue" msgstr "" -#: Merge.rc:1921 +#: Merge.rc:1923 #, c-format msgid "&Skip" msgstr "" -#: Merge.rc:1922 +#: Merge.rc:1924 #, c-format msgid "Skip &all" msgstr "" -#: Merge.rc:1924 +#: Merge.rc:1926 #, c-format msgid "Don't display this &message again." msgstr "" -#: Merge.rc:1925 +#: Merge.rc:1927 #, c-format msgid "Don't ask this &question again." msgstr "" -#: Merge.rc:1935 +#: Merge.rc:1937 #, c-format msgid "Syntax colors" msgstr "" -#: Merge.rc:1936 +#: Merge.rc:1938 #, c-format msgid "Text Colors" msgstr "" -#: Merge.rc:1938 +#: Merge.rc:1940 #, c-format msgid "Version Control" msgstr "" -#: Merge.rc:1948 +#: Merge.rc:1950 #, c-format msgid "To:" msgstr "" -#: Merge.rc:1949 +#: Merge.rc:1951 #, c-format msgid "From left:" msgstr "" -#: Merge.rc:1950 +#: Merge.rc:1952 #, c-format msgid "To left:" msgstr "" -#: Merge.rc:1951 +#: Merge.rc:1953 #, c-format msgid "From right:" msgstr "" -#: Merge.rc:1952 +#: Merge.rc:1954 #, c-format msgid "To right:" msgstr "" -#: Merge.rc:1958 +#: Merge.rc:1960 #, c-format msgid "Version %1" msgstr "" -#: Merge.rc:1959 +#: Merge.rc:1961 #, c-format msgid "Unicode" msgstr "" -#: Merge.rc:1965 +#: Merge.rc:1967 #, c-format msgid "Options (%1)" msgstr "" -#: Merge.rc:1966 +#: Merge.rc:1968 #, c-format msgid "All message boxes are now displayed again." msgstr "" -#: Merge.rc:1967 +#: Merge.rc:1969 #, c-format msgid "Modifications have been made to the current file comparison session. Some settings may not take place until the current file comparison is restarted." msgstr "" -#: Merge.rc:1968 +#: Merge.rc:1970 #, c-format msgid "The selected font change will not be applied to any currently visible difference windows." msgstr "" -#: Merge.rc:1970 +#: Merge.rc:1972 #, c-format msgid "Value in Tab size -field is not in range WinMerge accepts.\n\nPlease use values 1 - %1." msgstr "" -#: Merge.rc:1972 +#: Merge.rc:1974 #, c-format msgid "Are you sure you want to reset all WinMerge options to default values?\n\nPlease re-start WinMerge after the reset." msgstr "" -#: Merge.rc:1978 +#: Merge.rc:1980 #, c-format msgid "Open" msgstr "" -#: Merge.rc:1979 +#: Merge.rc:1981 #, c-format msgid "Programs|*.exe;*.bat;*.cmd|All Files (*.*)|*.*||" msgstr "" -#: Merge.rc:1980 +#: Merge.rc:1982 #, c-format msgid "All Files (*.*)|*.*||" msgstr "" -#: Merge.rc:1981 +#: Merge.rc:1983 #, c-format msgid "WinMerge Project Files (*.WinMerge)|*.WinMerge||" msgstr "" -#: Merge.rc:1983 +#: Merge.rc:1985 #, c-format msgid "Options files (*.ini)|*.ini|All Files (*.*)|*.*||" msgstr "" -#: Merge.rc:1989 +#: Merge.rc:1991 #, c-format msgid "Text Files (*.csv;*.asc;*.rpt;*.txt)|*.csv;*.asc;*.rpt;*.txt|All Files (*.*)|*.*||" msgstr "" -#: Merge.rc:1990 +#: Merge.rc:1992 #, c-format msgid "HTML Files (*.htm,*.html)|*.htm;*.html|All Files (*.*)|*.*||" msgstr "" -#: Merge.rc:1991 +#: Merge.rc:1993 #, c-format msgid "XML Files (*.xml)|*.xml|All Files (*.*)|*.*||" msgstr "" -#: Merge.rc:1997 +#: Merge.rc:1999 #, c-format msgid "UTF-8" msgstr "" -#: Merge.rc:1998 +#: Merge.rc:2000 #, c-format msgid "UTF-8 (B)" msgstr "" -#: Merge.rc:1999 +#: Merge.rc:2001 #, c-format msgid "UCS-2 LE" msgstr "" -#: Merge.rc:2000 +#: Merge.rc:2002 #, c-format msgid "UCS-2 BE" msgstr "" -#: Merge.rc:2006 +#: Merge.rc:2008 #, c-format msgid "Full Contents" msgstr "" -#: Merge.rc:2007 +#: Merge.rc:2009 #, c-format msgid "Quick Contents" msgstr "" -#: Merge.rc:2008 +#: Merge.rc:2010 #, c-format msgid "Modified Date" msgstr "" -#: Merge.rc:2009 +#: Merge.rc:2011 #, c-format msgid "Modified Date and Size" msgstr "" -#: Merge.rc:2010 +#: Merge.rc:2012 #, c-format msgid "Size" msgstr "" -#: Merge.rc:2016 -#: Merge.rc:2640 +#: Merge.rc:2018 +#: Merge.rc:2642 #, c-format msgid "Name" msgstr "" -#: Merge.rc:2017 +#: Merge.rc:2019 #, c-format msgid "Location" msgstr "" -#: Merge.rc:2018 +#: Merge.rc:2020 #, c-format msgid "Filters" msgstr "" -#: Merge.rc:2019 +#: Merge.rc:2021 #, c-format msgid "[F] " msgstr "" -#: Merge.rc:2020 -#: Merge.rc:2642 +#: Merge.rc:2022 +#: Merge.rc:2644 #, c-format msgid "Description" msgstr "" -#: Merge.rc:2021 +#: Merge.rc:2023 #, c-format msgid "Select filename for new filter" msgstr "" -#: Merge.rc:2022 +#: Merge.rc:2024 #, c-format msgid "File Filters (*.flt)|*.flt|All Files (*.*)|*.*||" msgstr "" -#: Merge.rc:2024 +#: Merge.rc:2026 #, c-format msgid "Cannot find file filter template file!\n\nPlease copy file %1 to WinMerge/Filters -folder:\n%2." msgstr "" -#: Merge.rc:2026 +#: Merge.rc:2028 #, c-format msgid "Cannot copy filter template file to filter folder:\n%1\n\nPlease make sure the folder exists and is writable." msgstr "" -#: Merge.rc:2028 +#: Merge.rc:2030 #, c-format msgid "User's filter file folder is not defined!\n\nPlease select filter folder in Options/System." msgstr "" -#: Merge.rc:2030 +#: Merge.rc:2032 #, c-format msgid "Failed to delete the filter file:\n%1\n\nMaybe the file is read-only?" msgstr "" -#: Merge.rc:2031 +#: Merge.rc:2033 #, c-format msgid "Locate filter file to install" msgstr "" -#: Merge.rc:2033 +#: Merge.rc:2035 #, c-format msgid "Installing filter file failed.\n\nCould not copy new filter file to filter folder." msgstr "" -#: Merge.rc:2035 +#: Merge.rc:2037 #, c-format msgid "Filter file already exists. Overwrite existing filter?" msgstr "" -#: Merge.rc:2041 +#: Merge.rc:2043 #, c-format msgid "Regular expression" msgstr "" -#: Merge.rc:2047 +#: Merge.rc:2049 #, c-format msgid "Filters were updated. Do you want to refresh all open folder compares?\n\nIf you do not want to refresh all compares now you can select No and refresh compares later." msgstr "" -#: Merge.rc:2054 +#: Merge.rc:2056 #, c-format msgid "&Path to SS.EXE:" msgstr "" -#: Merge.rc:2060 +#: Merge.rc:2062 #, c-format msgid "Folder Comparison Results" msgstr "" -#: Merge.rc:2061 +#: Merge.rc:2063 #, c-format msgid "File Comparison" msgstr "" -#: Merge.rc:2062 +#: Merge.rc:2064 #, c-format msgid "Untitled left" msgstr "" -#: Merge.rc:2063 +#: Merge.rc:2065 #, c-format msgid "Untitled right" msgstr "" -#: Merge.rc:2064 +#: Merge.rc:2066 #, c-format msgid "Theirs File" msgstr "" -#: Merge.rc:2065 +#: Merge.rc:2067 #, c-format msgid "Mine File" msgstr "" -#: Merge.rc:2071 +#: Merge.rc:2073 #, c-format msgid "Scanning files..." msgstr "" -#: Merge.rc:2072 +#: Merge.rc:2074 #, c-format msgid "Opening selection" msgstr "" -#: Merge.rc:2073 +#: Merge.rc:2075 #, c-format msgid "Copying Left to Right" msgstr "" -#: Merge.rc:2074 +#: Merge.rc:2076 #, c-format msgid "Copying Right to Left" msgstr "" -#: Merge.rc:2075 +#: Merge.rc:2077 #, c-format msgid "Copying All to Left" msgstr "" -#: Merge.rc:2076 +#: Merge.rc:2078 #, c-format msgid "Copying All to Right" msgstr "" -#: Merge.rc:2077 +#: Merge.rc:2079 #, c-format msgid "Copying files..." msgstr "" -#: Merge.rc:2078 +#: Merge.rc:2080 #, c-format msgid "Deleting files..." msgstr "" -#: Merge.rc:2079 +#: Merge.rc:2081 #, c-format msgid "Moving files..." msgstr "" -#: Merge.rc:2080 +#: Merge.rc:2082 #, c-format msgid "Creating the report..." msgstr "" -#: Merge.rc:2081 +#: Merge.rc:2083 #, c-format msgid "Undoing the last operation..." msgstr "" -#: Merge.rc:2082 +#: Merge.rc:2084 #, c-format msgid "Redoing the previous operation..." msgstr "" -#: Merge.rc:2088 +#: Merge.rc:2090 #, c-format msgid "Ln: %s Col: %d/%d Ch: %d/%d EOL: %s" msgstr "" -#: Merge.rc:2089 +#: Merge.rc:2091 #, c-format msgid "Line: %s" msgstr "" -#: Merge.rc:2090 +#: Merge.rc:2092 #, c-format msgid "Ln: %s Col: %d/%d Ch: %d/%d" msgstr "" -#: Merge.rc:2091 +#: Merge.rc:2093 #, c-format msgid "Merge" msgstr "" -#: Merge.rc:2092 +#: Merge.rc:2094 #, c-format msgid "Difference %1 of %2" msgstr "" -#: Merge.rc:2093 +#: Merge.rc:2095 #, c-format msgid "%1 Differences Found" msgstr "" -#: Merge.rc:2094 +#: Merge.rc:2096 #, c-format msgid "1 Difference Found" msgstr "" #. Abbreviation from "Read Only" -#: Merge.rc:2095 +#: Merge.rc:2097 #, c-format msgid "RO" msgstr "" -#: Merge.rc:2101 +#: Merge.rc:2103 #, c-format msgid "Item %1 of %2" msgstr "" -#: Merge.rc:2102 +#: Merge.rc:2104 #, c-format msgid "Items: %1" msgstr "" -#: Merge.rc:2108 +#: Merge.rc:2110 #, c-format msgid "Select two existing folders or files to compare" msgstr "" -#: Merge.rc:2109 +#: Merge.rc:2111 #, c-format msgid "Folder Selection" msgstr "" -#: Merge.rc:2110 +#: Merge.rc:2112 #, c-format msgid "Select two folders or two files to compare." msgstr "" -#: Merge.rc:2111 +#: Merge.rc:2113 #, c-format msgid "Left path is invalid!" msgstr "" -#: Merge.rc:2112 +#: Merge.rc:2114 #, c-format msgid "Right path is invalid!" msgstr "" -#: Merge.rc:2113 +#: Merge.rc:2115 #, c-format msgid "Both paths are invalid!" msgstr "" -#: Merge.rc:2114 +#: Merge.rc:2116 #, c-format msgid "Choose two files to enable unpacker selection." msgstr "" -#: Merge.rc:2115 +#: Merge.rc:2117 #, c-format msgid "Cannot compare file and folder!" msgstr "" -#: Merge.rc:2121 +#: Merge.rc:2123 #, c-format msgid "File not found: %1" msgstr "" -#: Merge.rc:2122 +#: Merge.rc:2124 #, c-format msgid "File not unpacked: %1" msgstr "" -#: Merge.rc:2123 +#: Merge.rc:2125 #, c-format msgid "Cannot open file\n%1\n\n%2" msgstr "" -#: Merge.rc:2124 +#: Merge.rc:2126 #, c-format msgid "Failed to parse conflict file." msgstr "" -#: Merge.rc:2125 +#: Merge.rc:2127 #, c-format msgid "The file\n%1\nis not a conflict file." msgstr "" -#: Merge.rc:2131 +#: Merge.rc:2133 #, c-format msgid "Save As" msgstr "" -#: Merge.rc:2132 +#: Merge.rc:2134 #, c-format msgid "Save changes to %1?" msgstr "" -#: Merge.rc:2133 +#: Merge.rc:2135 #, c-format msgid "%1 is marked read-only. Would you like to override the read-only file ? (No to save as new filename.)" msgstr "" -#: Merge.rc:2134 +#: Merge.rc:2136 #, c-format msgid "Error checking destination writeability" msgstr "" -#: Merge.rc:2135 +#: Merge.rc:2137 #, c-format msgid "Error backing up file" msgstr "" -#: Merge.rc:2137 +#: Merge.rc:2139 #, c-format msgid "Unable to backup original file:\n%1\n\nContinue anyway?" msgstr "" -#: Merge.rc:2138 +#: Merge.rc:2140 #, c-format msgid "Saving file failed.\n%1\n%2\nDo you want to:\n\t-use a different filename (Press Ok)\n\t-abort the current operation (Press Cancel)?" msgstr "" -#: Merge.rc:2139 +#: Merge.rc:2141 #, c-format msgid "Plugin '%2' cannot pack your changes to the left file back into '%1'.\n\nThe original file will not be changed.\n\nDo you want to save the unpacked version to another file?" msgstr "" -#: Merge.rc:2140 +#: Merge.rc:2142 #, c-format msgid "Plugin '%2' cannot pack your changes to the right file back into '%1'.\n\nThe original file will not be changed.\n\nDo you want to save the unpacked version to another file?" msgstr "" -#: Merge.rc:2141 +#: Merge.rc:2143 #, c-format msgid "Another application has updated file\n%1\nsince WinMerge loaded it.\n\nOverwrite changed file?" msgstr "" -#: Merge.rc:2142 +#: Merge.rc:2144 #, c-format msgid "%1\nis marked read-only. Would you like to override the read-only item?" msgstr "" -#: Merge.rc:2143 +#: Merge.rc:2145 #, c-format msgid "Another application has updated file\n%1\nsince WinMerge scanned it last time.\n\nIf you want to refresh files close current file compare and load files again." msgstr "" -#: Merge.rc:2144 +#: Merge.rc:2146 #, c-format msgid "Save Left File As" msgstr "" -#: Merge.rc:2145 +#: Merge.rc:2147 #, c-format msgid "Save Right File As" msgstr "" -#: Merge.rc:2151 +#: Merge.rc:2153 #, c-format msgid "Cannot merge differences when documents are not in synch.\n\nRefresh documents before continuing." msgstr "" -#: Merge.rc:2157 +#: Merge.rc:2159 #, c-format msgid "You must specify a SourceSafe project path in order to continue (ie: $/MyProject)" msgstr "" -#: Merge.rc:2158 +#: Merge.rc:2160 #, c-format msgid "Checkout files from VSS..." msgstr "" -#: Merge.rc:2159 +#: Merge.rc:2161 #, c-format msgid "Versioning System returned an error while attempting to check out the file. Unable to continue..." msgstr "" -#: Merge.rc:2160 +#: Merge.rc:2162 #, c-format msgid "Error executing versioning system command." msgstr "" -#: Merge.rc:2161 +#: Merge.rc:2163 #, c-format msgid "The VSS Working Folder and the location of the current file do not match. Continue?" msgstr "" -#: Merge.rc:2162 +#: Merge.rc:2164 #, c-format msgid "No VSS database(s) found!" msgstr "" -#: Merge.rc:2163 +#: Merge.rc:2165 #, c-format msgid "Error from VSS:" msgstr "" -#: Merge.rc:2164 +#: Merge.rc:2166 #, c-format msgid "Versioning System returned an error while attempting to check in the file.\n Please, check config spec of used view.\n Undo checkout operation?" msgstr "" -#: Merge.rc:2165 +#: Merge.rc:2167 #, c-format msgid "Versioning System returned an error while attempting to undo checkout the file.\n Please, check config spec of used view. " msgstr "" -#: Merge.rc:2171 -#: Merge.rc:2624 +#: Merge.rc:2173 +#: Merge.rc:2626 #, c-format msgid "None" msgstr "" -#: Merge.rc:2172 +#: Merge.rc:2174 #, c-format msgid "Visual SourceSafe (less than 5.0)" msgstr "" -#: Merge.rc:2173 +#: Merge.rc:2175 #, c-format msgid "Visual SourceSafe (5.0 and above)" msgstr "" -#: Merge.rc:2175 +#: Merge.rc:2177 #, c-format msgid "Break at whitespace" msgstr "" -#: Merge.rc:2176 +#: Merge.rc:2178 #, c-format msgid "Break at whitespace or punctuation" msgstr "" -#: Merge.rc:2182 #: Merge.rc:2184 +#: Merge.rc:2186 #, c-format msgid "Copy selected item to named directory" msgstr "" -#: Merge.rc:2183 #: Merge.rc:2185 +#: Merge.rc:2187 #, c-format msgid "Copy selected item to custom directory" msgstr "" -#: Merge.rc:2186 +#: Merge.rc:2188 #, c-format msgid "Delete selected item on left" msgstr "" -#: Merge.rc:2187 +#: Merge.rc:2189 #, c-format msgid "Delete selected item on right" msgstr "" -#: Merge.rc:2188 +#: Merge.rc:2190 #, c-format msgid "Delete selected item(s) on both sides" msgstr "" -#: Merge.rc:2189 +#: Merge.rc:2191 #, c-format msgid "Hide selected item(s) (on the fly filter)" msgstr "" -#: Merge.rc:2190 +#: Merge.rc:2192 #, c-format msgid "Rename selected item on both sides" msgstr "" -#: Merge.rc:2191 +#: Merge.rc:2193 #, c-format msgid "Right to Left (%1)" msgstr "" -#: Merge.rc:2192 +#: Merge.rc:2194 #, c-format msgid "Left to Right (%1)" msgstr "" -#: Merge.rc:2193 +#: Merge.rc:2195 #, c-format msgid "Right to Left (%1 of %2)" msgstr "" -#: Merge.rc:2194 +#: Merge.rc:2196 #, c-format msgid "Left to Right (%1 of %2)" msgstr "" -#: Merge.rc:2195 -#: Merge.rc:2211 +#: Merge.rc:2197 +#: Merge.rc:2213 #, c-format msgid "Left to... (%1)" msgstr "" -#: Merge.rc:2196 -#: Merge.rc:2212 +#: Merge.rc:2198 +#: Merge.rc:2214 #, c-format msgid "Right to... (%1)" msgstr "" -#: Merge.rc:2197 -#: Merge.rc:2213 +#: Merge.rc:2199 +#: Merge.rc:2215 #, c-format msgid "Left to... (%1 of %2)" msgstr "" -#: Merge.rc:2198 -#: Merge.rc:2214 +#: Merge.rc:2200 +#: Merge.rc:2216 #, c-format msgid "Right to... (%1 of %2)" msgstr "" -#: Merge.rc:2204 +#: Merge.rc:2206 #, c-format msgid "Left (%1)" msgstr "" -#: Merge.rc:2205 +#: Merge.rc:2207 #, c-format msgid "Right (%1)" msgstr "" -#: Merge.rc:2206 +#: Merge.rc:2208 #, c-format msgid "Both (%1)" msgstr "" -#: Merge.rc:2207 +#: Merge.rc:2209 #, c-format msgid "Left (%1 of %2)" msgstr "" -#: Merge.rc:2208 +#: Merge.rc:2210 #, c-format msgid "Right (%1 of %2)" msgstr "" -#: Merge.rc:2209 +#: Merge.rc:2211 #, c-format msgid "Both (%1 of %2)" msgstr "" -#: Merge.rc:2210 +#: Merge.rc:2212 #, c-format msgid "Select destination folder" msgstr "" -#: Merge.rc:2215 +#: Merge.rc:2217 #, c-format msgid "Left side - select destination folder:" msgstr "" -#: Merge.rc:2216 +#: Merge.rc:2218 #, c-format msgid "Right side - select destination folder:" msgstr "" -#: Merge.rc:2217 +#: Merge.rc:2219 #, c-format msgid "(%1 Files Affected)" msgstr "" -#: Merge.rc:2218 +#: Merge.rc:2220 #, c-format msgid "(%1 of %2 Files Affected)" msgstr "" -#: Merge.rc:2224 +#: Merge.rc:2226 #, c-format msgid "Are you sure you want to delete\n\n%1 ?" msgstr "" -#: Merge.rc:2225 +#: Merge.rc:2227 #, c-format msgid "Are you sure you want to copy:" msgstr "" -#: Merge.rc:2226 +#: Merge.rc:2228 #, c-format msgid "Are you sure you want to copy %d items:" msgstr "" -#: Merge.rc:2227 +#: Merge.rc:2229 #, c-format msgid "Operation aborted!\n\nFolder contents at disks has changed, path\n%1\nwas not found.\n\nPlease refresh the compare." msgstr "" -#: Merge.rc:2228 +#: Merge.rc:2230 #, c-format msgid "Are you sure you want to move:" msgstr "" -#: Merge.rc:2229 +#: Merge.rc:2231 #, c-format msgid "Are you sure you want to move %d items:" msgstr "" -#: Merge.rc:2231 +#: Merge.rc:2233 #, c-format msgid "Confirm Move" msgstr "" -#: Merge.rc:2237 +#: Merge.rc:2239 #, c-format msgid "Open left file" msgstr "" -#: Merge.rc:2238 +#: Merge.rc:2240 #, c-format msgid "Open the left file to external editor" msgstr "" -#: Merge.rc:2239 +#: Merge.rc:2241 #, c-format msgid "Open left file with ..." msgstr "" -#: Merge.rc:2240 +#: Merge.rc:2242 #, c-format msgid "Open right file" msgstr "" -#: Merge.rc:2241 +#: Merge.rc:2243 #, c-format msgid "Open the right file to external editor" msgstr "" -#: Merge.rc:2242 +#: Merge.rc:2244 #, c-format msgid "Open right file with..." msgstr "" -#: Merge.rc:2243 +#: Merge.rc:2245 #, c-format msgid "Failed to execute external editor: %1" msgstr "" -#: Merge.rc:2244 +#: Merge.rc:2246 #, c-format msgid "Unknown extension on external editor: %1" msgstr "" -#: Merge.rc:2245 +#: Merge.rc:2247 #, c-format msgid "Copy filenames to clipboard" msgstr "" -#: Merge.rc:2246 +#: Merge.rc:2248 #, c-format msgid "Copy left side pathnames to clipboard" msgstr "" -#: Merge.rc:2247 +#: Merge.rc:2249 #, c-format msgid "Copy right side pathnames to clipboard" msgstr "" -#: Merge.rc:2248 +#: Merge.rc:2250 #, c-format msgid "Copy both sides pathnames to clipboard" msgstr "" -#: Merge.rc:2254 +#: Merge.rc:2256 #, c-format msgid "Left Shell menu" msgstr "" -#: Merge.rc:2255 +#: Merge.rc:2257 #, c-format msgid "Right Shell menu" msgstr "" -#: Merge.rc:2261 +#: Merge.rc:2263 #, c-format msgid "Unknown archive format" msgstr "" -#: Merge.rc:2262 +#: Merge.rc:2264 #, c-format msgid "Add items from left side to archive" msgstr "" -#: Merge.rc:2263 +#: Merge.rc:2265 #, c-format msgid "Add items from right side to archive" msgstr "" -#: Merge.rc:2264 +#: Merge.rc:2266 #, c-format msgid "Add items from both sides to archive" msgstr "" -#: Merge.rc:2265 +#: Merge.rc:2267 #, c-format msgid "Add different items to archive (both sides)" msgstr "" -#: Merge.rc:2267 +#: Merge.rc:2269 #, c-format msgid "Move selected file on left to custom directory" msgstr "" -#: Merge.rc:2269 +#: Merge.rc:2271 #, c-format msgid "Move selected file on right to custom directory" msgstr "" -#: Merge.rc:2275 +#: Merge.rc:2277 #, c-format msgid "Filename" msgstr "" -#: Merge.rc:2276 +#: Merge.rc:2278 #, c-format msgid "Folder" msgstr "" -#: Merge.rc:2277 +#: Merge.rc:2279 #, c-format msgid "Comparison result" msgstr "" -#: Merge.rc:2278 +#: Merge.rc:2280 #, c-format msgid "Left Date" msgstr "" -#: Merge.rc:2279 +#: Merge.rc:2281 #, c-format msgid "Right Date" msgstr "" -#: Merge.rc:2280 +#: Merge.rc:2282 #, c-format msgid "Extension" msgstr "" -#: Merge.rc:2281 +#: Merge.rc:2283 #, c-format msgid "Left Size" msgstr "" -#: Merge.rc:2282 +#: Merge.rc:2284 #, c-format msgid "Right Size" msgstr "" -#: Merge.rc:2283 +#: Merge.rc:2285 #, c-format msgid "Right Size (Short)" msgstr "" -#: Merge.rc:2284 +#: Merge.rc:2286 #, c-format msgid "Left Size (Short)" msgstr "" -#: Merge.rc:2290 +#: Merge.rc:2292 #, c-format msgid "Left Creation Time" msgstr "" -#: Merge.rc:2291 +#: Merge.rc:2293 #, c-format msgid "Right Creation Time" msgstr "" -#: Merge.rc:2292 +#: Merge.rc:2294 #, c-format msgid "Newer File" msgstr "" -#: Merge.rc:2293 +#: Merge.rc:2295 #, c-format msgid "Left File Version" msgstr "" -#: Merge.rc:2294 +#: Merge.rc:2296 #, c-format msgid "Right File Version" msgstr "" -#: Merge.rc:2295 +#: Merge.rc:2297 #, c-format msgid "Short Result" msgstr "" -#: Merge.rc:2296 +#: Merge.rc:2298 #, c-format msgid "Left Attributes" msgstr "" -#: Merge.rc:2297 +#: Merge.rc:2299 #, c-format msgid "Right Attributes" msgstr "" -#: Merge.rc:2298 +#: Merge.rc:2300 #, c-format msgid "Left EOL" msgstr "" -#: Merge.rc:2299 +#: Merge.rc:2301 #, c-format msgid "Right EOL" msgstr "" -#: Merge.rc:2305 +#: Merge.rc:2307 #, c-format msgid "Left Encoding" msgstr "" -#: Merge.rc:2306 +#: Merge.rc:2308 #, c-format msgid "Right Encoding" msgstr "" -#: Merge.rc:2307 +#: Merge.rc:2309 #, c-format msgid "Ignored Diff." msgstr "" -#: Merge.rc:2308 +#: Merge.rc:2310 #, c-format msgid "Differences" msgstr "" -#: Merge.rc:2309 -#: Merge.rc:2620 +#: Merge.rc:2311 +#: Merge.rc:2622 #, c-format msgid "Binary" msgstr "" -#: Merge.rc:2315 +#: Merge.rc:2317 #, c-format msgid "Unable to compare files" msgstr "" -#: Merge.rc:2316 +#: Merge.rc:2318 #, c-format msgid "Item aborted" msgstr "" -#: Merge.rc:2317 +#: Merge.rc:2319 #, c-format msgid "File skipped" msgstr "" -#: Merge.rc:2318 +#: Merge.rc:2320 #, c-format msgid "Folder skipped" msgstr "" -#: Merge.rc:2319 +#: Merge.rc:2321 #, c-format msgid "Left only: %1" msgstr "" -#: Merge.rc:2320 +#: Merge.rc:2322 #, c-format msgid "Right only: %1" msgstr "" -#: Merge.rc:2321 +#: Merge.rc:2323 #, c-format msgid "Binary files are identical" msgstr "" -#: Merge.rc:2323 +#: Merge.rc:2325 #, c-format msgid "Binary files are different" msgstr "" -#: Merge.rc:2324 +#: Merge.rc:2326 #, c-format msgid "Files are different" msgstr "" -#: Merge.rc:2325 +#: Merge.rc:2327 #, c-format msgid "Folders are different" msgstr "" -#: Merge.rc:2326 +#: Merge.rc:2328 #, c-format msgid "Left Only" msgstr "" -#: Merge.rc:2327 +#: Merge.rc:2329 #, c-format msgid "Right Only" msgstr "" -#: Merge.rc:2329 +#: Merge.rc:2331 #, c-format msgid "Error" msgstr "" -#: Merge.rc:2330 +#: Merge.rc:2332 #, c-format msgid "Text files are identical" msgstr "" -#: Merge.rc:2335 +#: Merge.rc:2337 #, c-format msgid "Text files are different" msgstr "" -#: Merge.rc:2341 +#: Merge.rc:2343 #, c-format msgid "Elapsed time: %ld ms" msgstr "" -#: Merge.rc:2342 +#: Merge.rc:2344 #, c-format msgid "1 item selected" msgstr "" -#: Merge.rc:2343 +#: Merge.rc:2345 #, c-format msgid "%1 items selected" msgstr "" -#: Merge.rc:2349 +#: ... [truncated message content] |
From: <ki...@us...> - 2008-11-28 22:43:29
|
Revision: 6135 http://winmerge.svn.sourceforge.net/winmerge/?rev=6135&view=rev Author: kimmov Date: 2008-11-28 22:43:22 +0000 (Fri, 28 Nov 2008) Log Message: ----------- PATCH: [ 2354125 ] Reload file when it has been changed behind WinMerge Modified Paths: -------------- trunk/Docs/Users/ChangeLog.txt trunk/Src/Languages/English.pot trunk/Src/Merge.rc trunk/Src/MergeDoc.cpp trunk/Src/MergeDoc.h Modified: trunk/Docs/Users/ChangeLog.txt =================================================================== --- trunk/Docs/Users/ChangeLog.txt 2008-11-28 19:58:25 UTC (rev 6134) +++ trunk/Docs/Users/ChangeLog.txt 2008-11-28 22:43:22 UTC (rev 6135) @@ -4,6 +4,8 @@ http://winmerge.org/tracker/[tracker-id] WinMerge 2.11.1.8 + Allow reloading the file if it has been changed on the disk + behind WinMerge (#2354125) Add option to show Open-dialog on WinMerge startup (#2327424) Add Git to Source Control filter and fix ignore file rules (#2329381) Bugfix: Move confirmation dialog sometimes had wrong paths (#2327565, #2309190) Modified: trunk/Src/Languages/English.pot =================================================================== --- trunk/Src/Languages/English.pot 2008-11-28 19:58:25 UTC (rev 6134) +++ trunk/Src/Languages/English.pot 2008-11-28 22:43:22 UTC (rev 6135) @@ -8,7 +8,7 @@ msgstr "" "Project-Id-Version: WinMerge\n" "Report-Msgid-Bugs-To: http://sourceforge.net/tracker/?group_id=13216&atid=113216\n" -"POT-Creation-Date: 2008-11-28 21:56+0000\n" +"POT-Creation-Date: 2008-11-29 00:40+0000\n" "PO-Revision-Date: \n" "Last-Translator: \n" "Language-Team: English <win...@li...>\n" @@ -3542,7 +3542,7 @@ #: Merge.rc:2145 #, c-format -msgid "Another application has updated file\n%1\nsince WinMerge scanned it last time.\n\nIf you want to refresh files close current file compare and load files again." +msgid "Another application has updated file\n%1\nsince WinMerge scanned it last time.\n\nDo you want to reload the file?" msgstr "" #: Merge.rc:2146 Modified: trunk/Src/Merge.rc =================================================================== --- trunk/Src/Merge.rc 2008-11-28 19:58:25 UTC (rev 6134) +++ trunk/Src/Merge.rc 2008-11-28 22:43:22 UTC (rev 6135) @@ -2142,7 +2142,7 @@ IDS_FILEPACK_FAILED_RIGHT "Plugin '%2' cannot pack your changes to the right file back into '%1'.\n\nThe original file will not be changed.\n\nDo you want to save the unpacked version to another file?" IDS_FILECHANGED_ONDISK "Another application has updated file\n%1\nsince WinMerge loaded it.\n\nOverwrite changed file?" IDS_SAVEREADONLY_MULTI "%1\nis marked read-only. Would you like to override the read-only item?" - IDS_FILECHANGED_RESCAN "Another application has updated file\n%1\nsince WinMerge scanned it last time.\n\nIf you want to refresh files close current file compare and load files again." + IDS_FILECHANGED_RESCAN "Another application has updated file\n%1\nsince WinMerge scanned it last time.\n\nDo you want to reload the file?" IDS_SAVE_LEFT_AS "Save Left File As" IDS_SAVE_RIGHT_AS "Save Right File As" END Modified: trunk/Src/MergeDoc.cpp =================================================================== --- trunk/Src/MergeDoc.cpp 2008-11-28 19:58:25 UTC (rev 6134) +++ trunk/Src/MergeDoc.cpp 2008-11-28 22:43:22 UTC (rev 6135) @@ -543,13 +543,19 @@ { CString msg; LangFormatString1(msg, IDS_FILECHANGED_RESCAN, m_filePaths.GetLeft().c_str()); - AfxMessageBox(msg, MB_OK | MB_ICONWARNING); + if (AfxMessageBox(msg, MB_YESNO | MB_ICONWARNING) == IDYES) + { + ReloadDoc(0); + } } else if (bRightFileChanged) { CString msg; LangFormatString1(msg, IDS_FILECHANGED_RESCAN, m_filePaths.GetRight().c_str()); - AfxMessageBox(msg, MB_OK | MB_ICONWARNING); + if (AfxMessageBox(msg, MB_YESNO | MB_ICONWARNING) == IDYES) + { + ReloadDoc(1); + } } return nResult; } @@ -2335,6 +2341,221 @@ } /** + * @brief Re-load a document. + * This methods re-loads the file compare document. The re-loaded document is + * one side of the file compare. + * @param [in] index The document to re-load. + * @return Open result code. + */ +OPENRESULTS_TYPE CMergeDoc::ReloadDoc(int index) +{ + BOOL bIdentical = FALSE; + int nRescanResult = RESCAN_OK; + + // clear undo stack + undoTgt.clear(); + curUndo = undoTgt.begin(); + + const String path = m_filePaths.GetPath(index); + const BOOL readOnly = m_ptBuf[index]->GetReadOnly(); + + + // Prevent displaying views during LoadFile + // Note : attach buffer again only if both loads succeed + // clear undo buffers + // free the buffers + if (index == 0) + { + m_pView[MERGE_VIEW_LEFT]->DetachFromBuffer(); + m_pDetailView[0]->DetachFromBuffer(); + m_ptBuf[0]->m_aUndoBuf.clear(); + m_ptBuf[0]->FreeAll(); + } + else + { + m_pView[MERGE_VIEW_RIGHT]->DetachFromBuffer(); + m_pDetailView[1]->DetachFromBuffer(); + m_ptBuf[1]->m_aUndoBuf.clear(); + m_ptBuf[1]->FreeAll(); + } + + // Load files + DWORD nLoadSuccess = LoadOneFile(index, path.c_str(), readOnly, + m_ptBuf[index]->getEncoding()); + const BOOL bFiltersEnabled = GetOptionsMgr()->GetBool(OPT_PLUGINS_ENABLED); + + // Bail out if either side failed + if (!FileLoadResult::IsOk(nLoadSuccess)) + { + OPENRESULTS_TYPE retVal = OPENRESULTS_FAILED_MISC; + CChildFrame *pFrame = GetParentFrame(); + if (pFrame) + { + // Use verify macro to trap possible error in debug. + VERIFY(pFrame->DestroyWindow()); + } + return retVal; + } + + // Warn user if file load was lossy (bad encoding) + if (FileLoadResult::IsLossy(nLoadSuccess)) + { + // TODO: It would be nice to report how many lines were lossy + // we did calculate those numbers when we loaded the files, in the text stats + LangMessageBox(IDS_LOSSY_TRANSCODING_LEFT, MB_ICONSTOP); + } + + // Now buffers data are valid + if (index == 0) + { + m_pView[MERGE_VIEW_LEFT]->AttachToBuffer(); + m_pDetailView[0]->AttachToBuffer(); + } + else + { + m_pView[MERGE_VIEW_RIGHT]->AttachToBuffer(); + m_pDetailView[1]->AttachToBuffer(); + } + + // Currently there is only one set of syntax colors, which all documents & views share + m_pView[MERGE_VIEW_LEFT]->SetColorContext(GetMainSyntaxColors()); + m_pView[MERGE_VIEW_RIGHT]->SetColorContext(GetMainSyntaxColors()); + m_pDetailView[0]->SetColorContext(GetMainSyntaxColors()); + m_pDetailView[1]->SetColorContext(GetMainSyntaxColors()); + + // Set read-only status + m_ptBuf[index]->SetReadOnly(readOnly); + + // Check the EOL sensitivity option (do it before Rescan) + DIFFOPTIONS diffOptions = {0}; + m_diffWrapper.GetOptions(&diffOptions); + if (m_ptBuf[0]->GetCRLFMode() != m_ptBuf[1]->GetCRLFMode() && + !GetOptionsMgr()->GetBool(OPT_ALLOW_MIXED_EOL) && !diffOptions.bIgnoreEol) + { + // Options and files not are not compatible : + // Sensitive to EOL on, allow mixing EOL off, and files have a different EOL style. + // All lines will differ, that is not very interesting and probably not wanted. + // Propose to turn off the option 'sensitive to EOL' + String s = theApp.LoadString(IDS_SUGGEST_IGNOREEOL); + if (AfxMessageBox(s.c_str(), MB_YESNO | MB_ICONWARNING | MB_DONT_ASK_AGAIN | MB_IGNORE_IF_SILENCED, IDS_SUGGEST_IGNOREEOL) == IDYES) + { + diffOptions.bIgnoreEol = TRUE; + m_diffWrapper.SetOptions(&diffOptions); + } + } + + // Define the prediffer + PackingInfo * infoUnpacker = 0; + PrediffingInfo * infoPrediffer = 0; + if (bFiltersEnabled) + { + m_pDirDoc->FetchPluginInfos(m_strBothFilenames.c_str(), &infoUnpacker, &infoPrediffer); + m_diffWrapper.SetPrediffer(infoPrediffer); + m_diffWrapper.SetTextForAutomaticPrediff(m_strBothFilenames); + } + + BOOL bBinary = FALSE; + nRescanResult = Rescan(bBinary, bIdentical); + + // Open filed if rescan succeed and files are not binaries + if (nRescanResult == RESCAN_OK) + { + // prepare the four views + CMergeEditView * pLeft = GetLeftView(); + CMergeEditView * pRight = GetRightView(); + CMergeDiffDetailView * pLeftDetail = GetLeftDetailView(); + CMergeDiffDetailView * pRightDetail = GetRightDetailView(); + + // set the document types + // Warning : it is the first thing to do (must be done before UpdateView, + // or any function that calls UpdateView, like SelectDiff) + // Note: If option enabled, and another side type is not recognized, + // we use recognized type for unrecognized side too. + String sext; + if (bFiltersEnabled && m_pInfoUnpacker->textType.length()) + { + sext = m_pInfoUnpacker->textType; + } + else + { + sext = GetFileExt(path.c_str(), m_strDesc[index].c_str()); + } + + BOOL syntaxHLEnabled = GetOptionsMgr()->GetBool(OPT_SYNTAX_HIGHLIGHT); + BOOL bLeftTyped = FALSE; + BOOL bRightTyped = FALSE; + + if (syntaxHLEnabled) + { + if (index == 0) + { + bLeftTyped = pLeft->SetTextType(sext.c_str()); + pLeftDetail->SetTextType(sext.c_str()); + } + else if (index == 1) + { + bRightTyped = pRight->SetTextType(sext.c_str()); + pRightDetail->SetTextType(sext.c_str()); + } + } + + // set the frame window header + UpdateHeaderPath(index); + + if (index == 0) + { + pLeft->DocumentsLoaded(); + pRight->DocumentsLoaded(); + } + else if (index == 1) + { + pLeftDetail->DocumentsLoaded(); + pRightDetail->DocumentsLoaded(); + } + + // Inform user that files are identical + // Don't show message if new buffers created + if ((TRUE == bIdentical) && + ((m_nBufferType[0] == BUFFER_NORMAL) || + (m_nBufferType[0] == BUFFER_NORMAL_NAMED) || + (m_nBufferType[1] == BUFFER_NORMAL) || + (m_nBufferType[1] == BUFFER_NORMAL_NAMED))) + { + ShowRescanError(nRescanResult, bIdentical); + } + + // scroll to first diff + if (GetOptionsMgr()->GetBool(OPT_SCROLL_TO_FIRST) && + m_diffList.HasSignificantDiffs()) + { + int nDiff = m_diffList.FirstSignificantDiff(); + pLeft->SelectDiff(nDiff, true, false); + } + + // Exit if files are identical should only work for the first + // comparison and must be disabled afterward. + GetMainFrame()->m_bExitIfNoDiff = FALSE; + } + else + { + // CMergeDoc::Rescan fails if files do not exist on both sides + // or the really arcane case that the temp files couldn't be created, + // which is too obscure to bother reporting if you can't write to + // your temp directory, doing nothing is graceful enough for that). + ShowRescanError(nRescanResult, bIdentical); + GetParentFrame()->DestroyWindow(); + return OPENRESULTS_FAILED_MISC; + } + + // Force repaint of location pane to update it in case we had some warning + // dialog visible and it got painted before files were loaded + if (m_pView[MERGE_VIEW_LEFT]) + m_pView[MERGE_VIEW_LEFT]->RepaintLocationPane(); + + return OPENRESULTS_SUCCESS; +} + +/** * @brief Refresh cached options. * * For compare speed, we have to cache some frequently needed options, Modified: trunk/Src/MergeDoc.h =================================================================== --- trunk/Src/MergeDoc.h 2008-11-28 19:58:25 UTC (rev 6134) +++ trunk/Src/MergeDoc.h 2008-11-28 22:43:22 UTC (rev 6135) @@ -146,6 +146,7 @@ void UpdateResources(); OPENRESULTS_TYPE OpenDocs(FileLocation filelocLeft, FileLocation filelocRight, BOOL bROLeft, BOOL bRORight); + OPENRESULTS_TYPE ReloadDoc(int index); void RescanIfNeeded(float timeOutInSecond); int Rescan(BOOL &bBinary, BOOL &bIdentical, BOOL bForced = FALSE); void ShowRescanError(int nRescanResult, BOOL bIdentical); This was sent by the SourceForge.net collaborative development platform, the world's largest Open Source development site. |