User Activity

  • Posted a comment on ticket #52 on Icarus Verilog

    Hi Srini, We normally only use SourceForge for the mailing list and for release distribution. I think Steve requested you to use to discuss this on the mailing list. Please post this to the iverilog-devel mailing list. The short answer is look at ivtest which is our regression environment and determine how to integrate your tests into that environment. For your development I completely understand your desire to have your tests Makefile driven and it should be possible to architect your tests so they...

  • Posted a comment on ticket #51 on Icarus Verilog

    You need to clock on the edit link. I updated it to VHDL since I assume that is what you were trying to do.

  • Modified ticket #51 on Icarus Verilog

    VHDL: rotate support for simulation

  • Posted a comment on ticket #51 on Icarus Verilog

    Is this for VHDL? If so please add VHDL to the beginning of the title.

  • Modified ticket #1017 on Icarus Verilog

    iverilog creates infinite loop

  • Posted a comment on ticket #1017 on Icarus Verilog

    I can confirm that both V10 and devel are advancing in time, but the simulations did not produce any output or finish. V0.9 did produce output and completed.

  • Modified ticket #1016 on Icarus Verilog

    vvp incorrectly exits on termination of a begin/end

  • Posted a comment on ticket #1016 on Icarus Verilog

    I can confirm V10 produces different results then devel which produced the following: Incr/decr operators... line 91, CHECK_RES line 91-1, CHECK_RES line 91-2B, CHECK_RES line 91-B, cleared $ID111 line 91-C, done line 91: completed line 92, CHECK_RES line 93, CHECK_RES line 94, CHECK_RES line 100, arithmetic line 103, CHECK_RES in $L59... incr passcount... done test complete: 30 passes, 0 fails Which is more than you indicated above.

View All

Personal Data

Username:
caryr
Joined:
2006-11-22 17:28:18

Projects

This is a list of open source software projects that Cary R. is associated with:

Personal Tools