Home / win32.win32.x86
Name Modified Size InfoDownloads / Week
Parent folder
zamiaCAD-11.5-win32.zip 2017-05-05 88.9 MB
zamiaCAD-0.11.4-win32_64.zip 2015-04-20 86.7 MB
zamiaCAD-0.11.4-win32.zip 2015-04-20 86.6 MB
zamiaCAD-0.11.3-win32_64.zip 2014-02-21 74.1 MB
zamiaCAD-0.11.3-win32.zip 2014-02-21 74.1 MB
zamiaCAD-0.11.2-win32_64.zip 2013-06-18 72.4 MB
zamiaCAD-0.11.2-win32.zip 2013-06-18 72.4 MB
zamiaCAD-0.11.1-win32_64.zip 2013-01-15 66.8 MB
zamiaCAD-0.11.1-win32.zip 2013-01-15 66.8 MB
zamiaCAD-0.11.0-win32_64.zip 2012-06-29 66.7 MB
zamiaCAD-0.11.0-win32.zip 2012-06-29 66.7 MB
zamiaCAD-0.10.3-win32_64.zip 2012-03-07 69.0 MB
zamiaCAD-0.10.3-win32.zip 2012-03-07 69.0 MB
zamiaCAD-0.10.2-win32_64.zip 2012-01-05 64.9 MB
zamiaCAD-0.10.2-win32.zip 2012-01-05 64.9 MB
zamiaCAD-0.10.1-win32.zip 2011-03-31 43.2 MB
zamiaCAD-0.10.0-win32.zip 2010-12-16 43.1 MB
Totals: 17 Items   1.2 GB 4
Changelog

2017.05
############### [0.11.5] ##################
 - Cancellable python script run

2015.04.20

############### [0.11.4] ##################

- Make all editors (Python, Verilog, Buildpath and VHDL) uniformly colored and
- Uniform highlight of selected identifier in all the editors
- Synchronized identifier selection with Outline in VHDL editor
- Structural VHDL to EDIF export. No behaviour (processes) allowed in the structural VHDL.

############### [0.11.3] ##################

2014.02.22

- Refactoring: for-loops
- Replaced case analysis with polymorphism in StaticValue. This impoved sim speed 20%+.
- Added SLL/SRL/SLA/SRA and access.string.all for simulation
- Grouped some tests and created a lot of VHDL failing tests (Zamia needs additional implementation to pass them)
- Debugged command line for the python script
- Signal event listener and new debug metrics for our debugging method

############### [0.11.2] ##################

2013.06.18

  Switched Java requirement to 1.7

- [UI] Waveform made more ISim-style and selection improved
- [UI] Verilog editor enabled. It shows parsing errors but not elaborated.
- [UI] Xilinx PRJ import. Adds appropirate files into project and maps to lib via BuildPath 
- [UI] fixed BuildPath change detector.
- [CORE+UI] dump Report to XML upon request from XML
- [CORE] Bitvecotr ROL/ROR operators interpretable
- [CORE] Succ/Pred VHDL attributes elaborated and simulated
- [CORE] For-looping enums. Amount of interpreted code was reduced in for-loops.
- [CORE] Postponed processes (simulated).
- [SIM] fixed condition check in WAIT ON CLK UNTIL CLK='1'
- [SIM] support bitvector multidriver resolution. Amount of drivers reduced by 36%.


- fixing failures in error reporting
 - errors stuck (due to parser unspecified error location)
 - trying to prevent ResourceException: The resource tree is locked for modifications


############### [0.11.1] ##################

2013.01.15

- [CORE] A couple of scientific experimental features added:
	* Measurement of quality of diagnostic test (deviation, coverage, Hamming distance, other metrics).
	* Assignments and Conditions counter (static and dynamic).
- [CORE] Add zamiacad.bat for Windows OS.
- [CORE] Add support for read(line) after textio.write(line). Previously, lines could only be saved to files.
	* Support textio.FLUSH.
- [CORE] Report missing Python (PYTHONPATH) gracefully.
- [CORE] Specify error locations in failed operations with files.
- [CORE] Code clean-up/refactoring and minor bug-fixes:
	* Fix comparison of physical values.
	* Fix missing initialization of function variables with default values.
	* Fix lost indices in multidim arrays.
	* Fix return type in NOT-operation on arrays: derive it from argument type.
	* Fix VCD import for VHDL VCD files (reported by user indigo for plasma tutorial).
	* Fix duplicate search in assignment search.
	* Adjust VHDL2008.jj in accordance with several changes of auto-generated VHDL2008Parser.
	* Fix boot.py.
- [UI] Python icon added


############### [0.11.0] ##################

2012.06.27

- [CORE] ZDB performance improvement: speed up in HDD access
- [CORE] Through signal assignment search (with depth and direction limits and support for variables)
	* Search result export in DOT format (Graphviz, Gephi)
- [CORE] Dynamic Debug Algorithm infrastructure (Simulation Reports) + debug scripts
- [CORE] Simulator fixes + further implementation (REPORT, NOW, WRITE(line, val))
- [UI] UI enhancements and fixes, e.g.:
	* [Simulator view] Fix waveform freezing when scrolling in Windows
	* [Navigator] "New zamiaCAD project" and "New Source File" from context menu
	* [Simulator view] Progress monitor (progress bar) with stopper
	* [Simulator view] "s, ms, us, ns" combobox
	* [Simulator view] Order of indices in traced array slices fixed
	* [Navigator] Open project on double-click
	* [Navigator] "Run script" from context menu
	* [VHDL Editor] Debug report visualization
	


############### [0.10.3] ##################

2012.03.07

If you are planning to use Python scripting, set PYTHONPATH environment variable to point to the directory with Python libraries. One such directory is provided within the zamiaCAD installation package at zamiaCAD-0.10.3/Lib/. And don't forget to start zamiaCAD/Eclipse from the command line so that the environment variable loads properly.

The most important changes in this release include:
- [CORE] Through signal assignment search implemented (static analysis feature).
- [CORE] First tool integration: GHDL is used to obtain messages for syntax errors.
- [CORE] Simulator scripts added.
- [CORE] [UI] Script execution from within Eclipse GUI enabled.
- [UI] Lots of Eclipse plug-in UI improvements:
  - [UI] Tooltips on mouse hover (aka F2) + presenting trailing comments in the tooltip.
  - [UI] Hyperlink references.
  - [UI] Proper coverage highlighting implemented, hit count added to vertical column + overview ruler.

Full list of changes:
- [CORE] Fixed persistence of ZDB indices, previously polluted with old data.
- [CORE] Syntax error and simulation error (file_open error) reporting improved. 
- [CORE] Added recovery from corrupted ZDB, to make zamia more reliable and recoverable.
- [CORE] Bug in finding proper declaration of overloaded procedure fixed.
- [CORE] Fixed missing location for alias declarations.
- [UI] Tooltips on mouse hover (aka F2) + presenting trailing comments in the tooltip.
- [UI] Hyperlink only declared references, not the keywords.
- [UI] Fixed hanging of hyperlink detector.
- [UI] Problem indicator added to Editor's title, in case of syntax errors etc.
- [UI] Fixed multiple problems with file names in Eclipse UI.

- [CORE] Through-signal assignment search implemented (static analysis feature).
- [CORE] [UI] Script execution from within Eclipse GUI enabled.
- [CORE] Simulator scripts added.
- [CORE] Several simulator fixes, missing functionality implementation.
- [CORE] First tool integration: GHDL is used to obtain messages for syntax errors. Works only in Linux at the moment. Requires PYTHONPATH environment variable to be set by users to point to a directory with python libraries. One such directory is provided within the zamiaCAD installation package at zamiaCAD-0.10.3/Lib/.
- [UI] Proper coverage highlighting implemented, hit count added to vertical column.
- [UI] Overview ruler added for debugger highlighting.


############### [0.10.2] ##################

2012.01.05

The most important changes:
- Improving performance of ZDB and reducing its memory footprint
- Introducing scripting interpreter (Python/Jython)
- Improving performance of built-in simulator (speed-up in several times compared to version 0.10.1)
- Eclipse plug-in enhancements


Full list of changes:
- Several simulator bugs fixed. Simulator functionality extended.
- Reflection based IG dumping added.
- Graphviz dot-file IG, IGRS, AST dumping added.
- ZDB memory footprint reduced + performance improved.
- General bug fixes in VHDL parser, standard.vhdl etc.
- Python/Jython scripting interpreter added.
- Simulator is made to work faster.
- A button is added for highlighting simulated VHDL lines.
- zamiaCAD Eclipse Plugin enhancements:
	- support for file linking. Users don't have to copy their VHDL sources to the zamiaCAD project's directory anymore, but instead can create a project over existing sources residing in an arbitrary directory.
	- user can switch between multiple BuildPath files to select the active one (Context menu => "Touch to build").
	- project creating wizard made more convenient (incl. toplevel specification).
	- more readable path names in navigator.
	- support for individual files in BuildPath.
	- <Ctrl> + <Mouse Click> on source code words for jumping to declaration.
	- show error markers in zamiaCAD file navigator.
	- fixed several error marker related bugs.


############### [0.10.1] ##################

2011.03.31

Simulation fixes:
- [f.ID: 3152916] implement 'LAST_VALUE; fix parameter events
- fix unconstrained type of default assertion report
- fix initialization of unconstrained interfaces
- fix to vs downto in aggregates, indexing and ranges
- implement most of READ procedures from TEXTIO package. fix endfile() & readline().
- add FILE_OPEN(), FILE_CLOSE() builtins. check file status before accessing it.
- remove vulgar and potentially dangerous caching of readers.

Other fixes:
- [b.ID: 3179774] auto-generated procedure body ends with "end function"

Other changes:
- update license, copyright and project description
- lower startup memory arguments for VM


zamiacad/
last : 5d9f34e61dd3413e0dca67de59c49cccbee82778
first: d7c6ab7bd81d873d3469b23876ce13471609ebca

zamia-eclipse-plugin/
last : 54a40f20e39e49b7456b52d45fc6dd80e8e98f9f
first: ae3a5afb4350deebacbcb01469ae6df0d043f309

###########################################
Source: README_changelog.txt, updated 2017-05-05