Icarus Verilog is an open source Verilog compiler that supports the IEEE-1364 Verilog HDL including IEEE1364-2005 plus extensions.

Project Activity

See All Activity >

License

GNU General Public License version 2.0 (GPLv2)

Follow Icarus Verilog

Icarus Verilog Web Site

Other Useful Business Software
Life Science Quality Management Software Icon
Life Science Quality Management Software

Specifically designed for the Life Science industry.

Comply with ISO, FDA, and GxP regulations and be audit-ready with our cloud-based quality management system.
Rate This Project
Login To Rate This Project

User Ratings

★★★★★
★★★★
★★★
★★
9
0
0
0
0
ease 1 of 5 2 of 5 3 of 5 4 of 5 5 of 5 4 / 5
features 1 of 5 2 of 5 3 of 5 4 of 5 5 of 5 4 / 5
design 1 of 5 2 of 5 3 of 5 4 of 5 5 of 5 4 / 5
support 1 of 5 2 of 5 3 of 5 4 of 5 5 of 5 4 / 5

User Reviews

  • iverilog is a unique and spectacular Verilog simulation package. Truly a remarkable effort.
  • So much easier to use than the Mentor or Xilinx tools.
  • Just perfect.
  • Very good tool and also i have one question at the time of synthesis coding in icarus verilog compiler 0.8 version. it gives error, the process is not synthesized. Please anyone tell me, what can i do for the problem.
  • Icarus - the best open program for designing behavioral description schemes. Many thanks to the developers!
Read more reviews >

Additional Project Details

Operating Systems

MinGW/MSYS2, Linux, BSD, Mac

Intended Audience

Advanced End Users, Developers

User Interface

Command-line

Programming Language

C++, C, VHDL/Verilog, Yacc

Related Categories

C++ Electronic Design Automation (EDA) Software, C Electronic Design Automation (EDA) Software, VHDL/Verilog Electronic Design Automation (EDA) Software, Yacc Electronic Design Automation (EDA) Software

Registered

2005-10-04