You can subscribe to this list here.
2010 |
Jan
|
Feb
|
Mar
|
Apr
|
May
|
Jun
|
Jul
|
Aug
|
Sep
|
Oct
|
Nov
|
Dec
(1) |
---|---|---|---|---|---|---|---|---|---|---|---|---|
2011 |
Jan
(8) |
Feb
(1) |
Mar
(3) |
Apr
|
May
|
Jun
|
Jul
|
Aug
|
Sep
(4) |
Oct
|
Nov
(7) |
Dec
|
2012 |
Jan
(3) |
Feb
(1) |
Mar
|
Apr
|
May
(2) |
Jun
|
Jul
|
Aug
|
Sep
|
Oct
|
Nov
(3) |
Dec
(1) |
2015 |
Jan
(1) |
Feb
(1) |
Mar
|
Apr
|
May
|
Jun
|
Jul
|
Aug
|
Sep
|
Oct
|
Nov
|
Dec
|
2016 |
Jan
|
Feb
|
Mar
|
Apr
|
May
|
Jun
|
Jul
(1) |
Aug
|
Sep
|
Oct
|
Nov
|
Dec
|
2017 |
Jan
|
Feb
|
Mar
|
Apr
|
May
|
Jun
|
Jul
|
Aug
|
Sep
(1) |
Oct
|
Nov
|
Dec
|
2018 |
Jan
|
Feb
|
Mar
(3) |
Apr
|
May
(1) |
Jun
|
Jul
|
Aug
|
Sep
|
Oct
|
Nov
|
Dec
|
2019 |
Jan
|
Feb
|
Mar
|
Apr
|
May
|
Jun
|
Jul
|
Aug
|
Sep
|
Oct
(3) |
Nov
|
Dec
|
From: Manni F. <Flo...@cn...> - 2019-10-21 07:25:55
|
Well thanks but this was only made possible thanks to your work guys on Zamiacad. Actually, I’m looking for an intern at CNES to improve (if possible) Zamiacad to handle several constructs form VHDL 2008 and also to add rules. Florent ------------------------------------------------ MANNI Florent ----- CNES DSO/TB/ET (French Space Agency) Service DSO/TB/ET : Electronique numérique et traitement bord (Onboard data handling) 18 avenue Edouard Belin 31401 Toulouse cedex 9 - FRANCE ----- Tél: +33 (0)5 612 74330 Fax : +33 (0)5 61 28 19 96 ----- Bureau: Foucault 16 BPI : 1713 ------------------------------------------------ De : Guenter Bartsch <gue...@gm...> Envoyé : samedi 19 octobre 2019 11:54 À : Manni Florent <Flo...@cn...> Cc : zam...@li... Objet : Re: [Zamiacad-user] [zamiacad]Rulechecker Hi Florent, impressive work, great! :) Cheers, Guenter |
From: Guenter B. <gue...@gm...> - 2019-10-19 09:54:11
|
Hi Florent, impressive work, great! :) Cheers, Guenter > > |
From: Manni F. <Flo...@cn...> - 2019-10-16 14:45:27
|
Hi everyone, I wanted to keep you informed about the progress we made added VHDL linting capabilities within Zamiacad. So far we succeeded adding 26 rules out of the hundreds located in our VHDL handbook (hosted here https://github.com/VHDLTool/VHDL_Handbook_CNE) . We put everything online through Github https://github.com/VHDLTool/Zamiacad-Rulechecker . We created a branch called Rulechecker based on your main branch hosted on Sourceforge. You can have a look at the wiki for additional information (https://github.com/VHDLTool/Zamiacad-Rulechecker/wiki) about how it was achieved. [cid:image002.jpg@01D5843C.121E56A0] It would be great, if you considered adding these Rulechecker feature to your Zamiacad eclipse plugin mainstream branch. We also created a plugin to display the result of the Zamiacad-linter inside Sonarqube (https://github.com/VHDLTool/sonar-VHDLRC) . This plugin works with a custom Sonarqube-scanner which embeds a portable Eclipse and Zamiacad-Rulechecker plugin. If you are interested in the results, you can have a look at the demo inside the release section of https://github.com/VHDLTool/Sonarqube-Rulechecker-Demo Here is a sample of what you can achieve with Zamiacad + Sonarqube based on plasma example: [cid:image006.jpg@01D5843C.121E56A0] Florent ------------------------------------------------ MANNI Florent ----- CNES DSO/TB/ET (French Space Agency) Service DSO/TB/ET : Electronique numérique et traitement bord (Onboard data handling) 18 avenue Edouard Belin 31401 Toulouse cedex 9 - FRANCE ----- Tél: +33 (0)5 612 74330 Fax : +33 (0)5 61 28 19 96 ----- Bureau: Foucault 16 BPI : 1713 ------------------------------------------------ |
From: Microsoft Office<mic...@e-...> - 2018-05-11 14:38:58
|
Attention: Your incoming emails and outgoing emails are queued up, please verify your details to restore. Hello zam...@li... Your messages are now queued up and pending delivery because your email has not been verified,you are required to confirm your email account to restore normal email delivery. Confirm and upgrade zam...@li... Please note: When logging in IDs and passwords, be sure to do so in a safe and secure manner. User Name: zam...@li... Once Verified Your Email Delivery Would Be Working In Less Than 2 Hours. Sincerely, Support Team This is a mandatory service communication for zam...@li.... This message was sent from an unmonitored e-mail address. Please do not reply to this message. Privacy | Legal |
From: 弓蓉青 <ww...@rq...> - 2018-03-20 19:32:53
|
zamiacad-user 详----细--- 大----纲--- 请 ----阅 ---读 ----附---- 件 |
From: 翟哲波 <ra...@rl...> - 2018-03-19 17:07:48
|
zamiacad-user 详----细--- 大----纲--- 请 ----阅 ---读 ----附---- 件 |
From: 韩瑜嘉 <of...@ot...> - 2018-03-18 09:43:43
|
亲:zamiacad-user ·详·情·阅·读·附·件·内·容· |
From: DVE - G. B. <in...@de...> - 2017-09-04 16:20:25
|
Hallo. First of all i would like to thanks all the zamiacad developers, it's a great plugin. I'm using ZamiaCad in a Debian Linux and Eclipse Luna. I've an issue with an automated dialog box in ZamiaCad that sometimes drive me crazy. The "Do Full Build?" dialog automatically appears whenever an HDL file in a project changes. I use CVS with Eclipse and sometimes i switch a project from some branch to another. If a source file in the project is changed, this dialog appears. I don't know why and when, but sometimes the buttons "Yes", "No" in the dialog can't be pressed, the dialog can't be closed and the only thing to do is to kill the eclipse process. The dialog box that appears has the title "Do Full Build?" and a text like the following: A full project build was requested. ... Do you want to do a full build now ? and two buttons "yes", "no". Sometimes happens that when restarting Eclipse, the same dialog appears immediately after eclipse has started, and the two buttons can't be pressed. In this situation the only possibility to run eclipse is to rename the zamia .jar plugin, run eclipse once, and restore the plugin. Does anyone has had the same problem ? Is there a solution to avoid this issue ? Thank you. Gabriele. |
From: Michael K. <mk...@am...> - 2016-07-29 08:26:18
|
Hello! How can i invoke a tcl-script from the build_path.txt. I simply added a line <exec "/path/to/script.tcl"> in the build_path.txt. the ZamiaProjectBuilder doesn't show any error. If the call is correct, where are outputs from the script redirected to? If i catch the drift using a vcd-dump is only for data transfer from modelsim to zamiaCAD. Is it possible to do it vice versa, thus to generate synthesized data for inclusion in modelsim? Thanks and regards Michael Kaiser |
From: Valentin T. <val...@gm...> - 2015-02-03 16:14:09
|
Since in buildpath you specify the module to elaborate and no elaboration is supported for verilog, there is no need to have the buildpath file for Verilog. You just open/create .v files and get syntactic coloring and errors. Semantic errors are not checked because this is elaborator's job and it is not implemented. thanks for trying Zamiacad, valentin |
From: Arun C. <ar...@un...> - 2015-01-25 15:14:11
|
Hallo, How to invoke Verilog support in Zamiacad, (whatever implemented)? From the site, I understand that Zamiacad supports upto AST building for verilog. However by default Zamiacad and buildpath is configured for VHDL, and I could not find any tutorial online. Could you help me in this aspect? Thank you. warm regards, Arun. |
From: Maksim J. <ma...@at...> - 2012-12-21 11:59:38
|
Dear zamiaCAD friends, We invite you to attend remotely the January DVClub event featuring "Open Source Verification Tools". One of the presentations will address recent achievements in the zamiaCAD project. zamiaCAD: Shall we dance? Monday, 14th January 2013, 14:00 CET http://dvclubjanuary2013.eventbrite.com/ Have a great Christmas! Maksim Jenihhin Tallinn University of Technology ESTONIA -------- Original Message -------- Subject: Merry Christmas! January DVClub (Open Source Verification Tools) Date: Fri, 21 Dec 2012 10:58:17 +0000 From: Mike Bartley <mi...@te...> To: <ma...@at...> Merry Christmas! January DVClub (Open Source Verification Tools) Is this email not displaying correctly? View it in your browser <http://us2.campaign-archive2.com/?u=00652cb0939f47b7c03d478df&id=3dd96ec395&e=57e9208034>. Hello The TVS team would like to wish you a Merry Christmas and Happy New Year!! Thank you for supporting TVS events and conferences during 2012; we have an exciting year planned for 2013! The first event is the DVClub <http://tandvsolns.us2.list-manage1.com/track/click?u=00652cb0939f47b7c03d478df&id=7d51b0425e&e=57e9208034> on Monday, 14th January 2013 which discusses “Open Source Verification Tools” with the main presentation by Wilson Snyder giving us a Verification Insight into Verilator with additional presentations from Dag Arneat Braend, Maksim Jenihhin and Richard Porter As a short taster of what’s to come in January, Wilson Snyder has taken the time to give us a Verification Insight <http://tandvsolns.us2.list-manage.com/track/click?u=00652cb0939f47b7c03d478df&id=a35402a672&e=57e9208034> into Verilstor and explains why Verilator was started, the business model, user base and the future for Verilator. You can attend the DVClub <http://tandvsolns.us2.list-manage2.com/track/click?u=00652cb0939f47b7c03d478df&id=b85d661501&e=57e9208034> in Bristol, Cambridge, Eindhoven and Grenoble, or listen live via webinar. Registration <http://tandvsolns.us2.list-manage.com/track/click?u=00652cb0939f47b7c03d478df&id=12b269672a&e=57e9208034> is simple, don’t delay as this is a popular topic and places will fill up fast. Look out for the TVS Events calendar in our January 2013 Newsletter! We hope your Christmas and New Year is filled with festive cheer! Kind regards, Mike Bartley follow on Twitter <http://www.twitter.com/testandverif/> | forward to a friend <http://us2.forward-to-friend.com/forward?u=00652cb0939f47b7c03d478df&id=3dd96ec395&e=57e9208034> Copyright © 2012 Test and Verification Solutions Limited. All rights reserved. Our mailing address is: TVS, SETsquared Business Acceleration Centre, University Gate East, Park Row, Bristol BS1 5UB Registered in England & Wales: No 6512992 unsubscribe from the Verification Future list <http://tandvsolns.us2.list-manage.com/unsubscribe?u=00652cb0939f47b7c03d478df&id=74f50312d7&e=57e9208034&c=3dd96ec395> | update subscription preferences <http://tandvsolns.us2.list-manage.com/profile?u=00652cb0939f47b7c03d478df&id=74f50312d7&e=57e9208034> |
From: indigo <ind...@gm...> - 2012-11-12 04:38:36
|
Hi Anton, Thank you for the support. I will do the necessary changes and will let you know. Thank you, Indigo On Mon, Nov 12, 2012 at 6:15 AM, Anton Chepurov <ant...@gm...>wrote: > Hi, Indigo! > > > First of all, thanks for your interest in zamiaCAD! :o) > > And sorry for our late reply — it took a while to figure out the source of > the problem these busy days. And it was not the "broken" VCD file as I > suspected initially, but rather a small bug in the VCD import part. The fix > is now pushed to the public repo, so if you’re compiling zamiaCAD from > sources — just update the master branch. > > > > But if you are using the precompiled package — just let me know in a > private message, I’ll repackage the latest version for you. > > > > Also, you might be curious concerning what is the program the given VCD > file corresponds to. It is the program for computing Fibonacci numbers. If > you push the "Trace…" button (icon with an eye) and type a star (*) into > the field "Select signals to be traced" to select all the signals from the > upmost level (25 of them, limited below with a combobox), select them all > and press OK, then there will be a MEM_DATA_R signal amongst them. Look > closer to its values => these should be the Fibonacci numbers. > > > > Also, due to the fact that VCD file contains all of the signals in the > design (I guess it is so, because of the large size of the VCD file), you > can open any design file, click on a signal posing some interest to you and > press F5 to add it to the waveforms. You will see the waveform immediately. > This is different from what you get when you press F5 in a live simulation. > In that case, you will find the signal added and you will also see its > current value, while its history will be unavailable until you re-simulate > the design. Obviously, that is because we only keep track of signal values > for those signals which are explicitly traced — a usual thing for HDL > simulators. > > > > Enjoy! > > Anton > > > > > On Wed, Nov 7, 2012 at 9:12 PM, indigo <ind...@gm...> wrote: > >> Hi, >> >> I was following the plasma example given here: >> http://zamiacad.sourceforge.net/web/?q=tutorial >> >> In the simulation step, when I hit run, I get errors in the console >> window: >> >> [console] >> >> Nov 07 23:53:32 >> Nov 07 23:53:32 Zamia Profiler Results >> Nov 07 23:53:32 ====================== >> Nov 07 23:53:32 >> Nov 07 23:53:32 0.06s ZDB commit >> Nov 07 23:53:32 0.00s Synth >> Nov 07 23:53:32 0.00s Parsing >> Nov 07 23:53:32 0.00s IG >> Nov 07 23:53:32 >> Nov 07 23:53:32 >> ========================================================================== >> Nov 07 23:53:32 EXCEPTION LOG STARTS: VCD: unknown value :- >> Nov 07 23:53:32 D:\workspace\plasma\auxiliary\tbench.vcd:705,1: VCD: >> unknown value :- >> at org.zamia.instgraph.sim.vcd.VCDData.addBinaryVector(VCDData.java:258) >> at >> org.zamia.instgraph.sim.vcd.parser.VCDParser.vector_value_change(VCDParser.java:444) >> at >> org.zamia.instgraph.sim.vcd.parser.VCDParser.value_change(VCDParser.java:424) >> at >> org.zamia.instgraph.sim.vcd.parser.VCDParser.simulation_command(VCDParser.java:380) >> at >> org.zamia.instgraph.sim.vcd.parser.VCDParser.value_change_dump_definitions(VCDParser.java:131) >> at org.zamia.instgraph.sim.vcd.parser.VCDParser.parse(VCDParser.java:65) >> at org.zamia.instgraph.sim.vcd.VCDImport.open(VCDImport.java:58) >> at >> org.zamia.plugin.views.sim.SimulatorView$SimRunJob.run(SimulatorView.java:1623) >> at org.eclipse.core.internal.jobs.Worker.run(Worker.java:53) >> >> Nov 07 23:53:32 >> Nov 07 23:53:32 Full path: D:\workspace\plasma\auxiliary\tbench.vcd >> Nov 07 23:53:32 >> Nov 07 23:53:32 >> -------------------------------------------------------------------------- >> Nov 07 23:53:32 Source code excerpt: >> Nov 07 23:53:32 D:\workspace\plasma\auxiliary\tbench.vcd >> Nov 07 23:53:32 701: U|# >> Nov 07 23:53:32 702: 0}# >> Nov 07 23:53:32 703: 1!$ >> Nov 07 23:53:32 704: bUUUUUUUU "$ >> Nov 07 23:53:32 * 705: b-------- #$ >> Nov 07 23:53:32 706: 0$$ >> Nov 07 23:53:32 707: U%$ >> Nov 07 23:53:32 708: 0&$ >> Nov 07 23:53:32 >> -------------------------------------------------------------------------- >> Nov 07 23:53:32 >> Nov 07 23:53:32 >> ========================================================================== >> Nov 07 23:53:32 org.eclipse.swt.SWTException: Invalid thread access >> at org.eclipse.swt.SWT.error(SWT.java:4361) >> at org.eclipse.swt.SWT.error(SWT.java:4276) >> at org.eclipse.swt.SWT.error(SWT.java:4247) >> at org.eclipse.swt.widgets.Widget.error(Widget.java:468) >> at org.eclipse.swt.widgets.Widget.checkWidget(Widget.java:359) >> at org.eclipse.swt.widgets.Control.getShell(Control.java:1556) >> at >> org.zamia.plugin.views.sim.SimulatorView$SimRunJob.run(SimulatorView.java:1635) >> at org.eclipse.core.internal.jobs.Worker.run(Worker.java:53) >> >> Nov 07 23:53:32 >> -------------------------------------------------------------------------- >> >> [/console] >> >> If anyone is aware of this problem, please shed some light. >> >> Thank you. >> >> -- >> Indigo >> >> >> ------------------------------------------------------------------------------ >> LogMeIn Central: Instant, anywhere, Remote PC access and management. >> Stay in control, update software, and manage PCs from one command center >> Diagnose problems and improve visibility into emerging IT issues >> Automate, monitor and manage. Do more in less time with Central >> http://p.sf.net/sfu/logmein12331_d2d >> _______________________________________________ >> Zamiacad-user mailing list >> Zam...@li... >> https://lists.sourceforge.net/lists/listinfo/zamiacad-user >> >> |
From: Anton C. <ant...@gm...> - 2012-11-12 00:46:10
|
Hi, Indigo! First of all, thanks for your interest in zamiaCAD! :o) And sorry for our late reply — it took a while to figure out the source of the problem these busy days. And it was not the "broken" VCD file as I suspected initially, but rather a small bug in the VCD import part. The fix is now pushed to the public repo, so if you’re compiling zamiaCAD from sources — just update the master branch. But if you are using the precompiled package — just let me know in a private message, I’ll repackage the latest version for you. Also, you might be curious concerning what is the program the given VCD file corresponds to. It is the program for computing Fibonacci numbers. If you push the "Trace…" button (icon with an eye) and type a star (*) into the field "Select signals to be traced" to select all the signals from the upmost level (25 of them, limited below with a combobox), select them all and press OK, then there will be a MEM_DATA_R signal amongst them. Look closer to its values => these should be the Fibonacci numbers. Also, due to the fact that VCD file contains all of the signals in the design (I guess it is so, because of the large size of the VCD file), you can open any design file, click on a signal posing some interest to you and press F5 to add it to the waveforms. You will see the waveform immediately. This is different from what you get when you press F5 in a live simulation. In that case, you will find the signal added and you will also see its current value, while its history will be unavailable until you re-simulate the design. Obviously, that is because we only keep track of signal values for those signals which are explicitly traced — a usual thing for HDL simulators. Enjoy! Anton On Wed, Nov 7, 2012 at 9:12 PM, indigo <ind...@gm...> wrote: > Hi, > > I was following the plasma example given here: > http://zamiacad.sourceforge.net/web/?q=tutorial > > In the simulation step, when I hit run, I get errors in the console window: > > [console] > > Nov 07 23:53:32 > Nov 07 23:53:32 Zamia Profiler Results > Nov 07 23:53:32 ====================== > Nov 07 23:53:32 > Nov 07 23:53:32 0.06s ZDB commit > Nov 07 23:53:32 0.00s Synth > Nov 07 23:53:32 0.00s Parsing > Nov 07 23:53:32 0.00s IG > Nov 07 23:53:32 > Nov 07 23:53:32 > ========================================================================== > Nov 07 23:53:32 EXCEPTION LOG STARTS: VCD: unknown value :- > Nov 07 23:53:32 D:\workspace\plasma\auxiliary\tbench.vcd:705,1: VCD: > unknown value :- > at org.zamia.instgraph.sim.vcd.VCDData.addBinaryVector(VCDData.java:258) > at > org.zamia.instgraph.sim.vcd.parser.VCDParser.vector_value_change(VCDParser.java:444) > at > org.zamia.instgraph.sim.vcd.parser.VCDParser.value_change(VCDParser.java:424) > at > org.zamia.instgraph.sim.vcd.parser.VCDParser.simulation_command(VCDParser.java:380) > at > org.zamia.instgraph.sim.vcd.parser.VCDParser.value_change_dump_definitions(VCDParser.java:131) > at org.zamia.instgraph.sim.vcd.parser.VCDParser.parse(VCDParser.java:65) > at org.zamia.instgraph.sim.vcd.VCDImport.open(VCDImport.java:58) > at > org.zamia.plugin.views.sim.SimulatorView$SimRunJob.run(SimulatorView.java:1623) > at org.eclipse.core.internal.jobs.Worker.run(Worker.java:53) > > Nov 07 23:53:32 > Nov 07 23:53:32 Full path: D:\workspace\plasma\auxiliary\tbench.vcd > Nov 07 23:53:32 > Nov 07 23:53:32 > -------------------------------------------------------------------------- > Nov 07 23:53:32 Source code excerpt: > Nov 07 23:53:32 D:\workspace\plasma\auxiliary\tbench.vcd > Nov 07 23:53:32 701: U|# > Nov 07 23:53:32 702: 0}# > Nov 07 23:53:32 703: 1!$ > Nov 07 23:53:32 704: bUUUUUUUU "$ > Nov 07 23:53:32 * 705: b-------- #$ > Nov 07 23:53:32 706: 0$$ > Nov 07 23:53:32 707: U%$ > Nov 07 23:53:32 708: 0&$ > Nov 07 23:53:32 > -------------------------------------------------------------------------- > Nov 07 23:53:32 > Nov 07 23:53:32 > ========================================================================== > Nov 07 23:53:32 org.eclipse.swt.SWTException: Invalid thread access > at org.eclipse.swt.SWT.error(SWT.java:4361) > at org.eclipse.swt.SWT.error(SWT.java:4276) > at org.eclipse.swt.SWT.error(SWT.java:4247) > at org.eclipse.swt.widgets.Widget.error(Widget.java:468) > at org.eclipse.swt.widgets.Widget.checkWidget(Widget.java:359) > at org.eclipse.swt.widgets.Control.getShell(Control.java:1556) > at > org.zamia.plugin.views.sim.SimulatorView$SimRunJob.run(SimulatorView.java:1635) > at org.eclipse.core.internal.jobs.Worker.run(Worker.java:53) > > Nov 07 23:53:32 > -------------------------------------------------------------------------- > > [/console] > > If anyone is aware of this problem, please shed some light. > > Thank you. > > -- > Indigo > > > ------------------------------------------------------------------------------ > LogMeIn Central: Instant, anywhere, Remote PC access and management. > Stay in control, update software, and manage PCs from one command center > Diagnose problems and improve visibility into emerging IT issues > Automate, monitor and manage. Do more in less time with Central > http://p.sf.net/sfu/logmein12331_d2d > _______________________________________________ > Zamiacad-user mailing list > Zam...@li... > https://lists.sourceforge.net/lists/listinfo/zamiacad-user > > |
From: indigo <ind...@gm...> - 2012-11-07 19:12:41
|
Hi, I was following the plasma example given here: http://zamiacad.sourceforge.net/web/?q=tutorial In the simulation step, when I hit run, I get errors in the console window: [console] Nov 07 23:53:32 Nov 07 23:53:32 Zamia Profiler Results Nov 07 23:53:32 ====================== Nov 07 23:53:32 Nov 07 23:53:32 0.06s ZDB commit Nov 07 23:53:32 0.00s Synth Nov 07 23:53:32 0.00s Parsing Nov 07 23:53:32 0.00s IG Nov 07 23:53:32 Nov 07 23:53:32 ========================================================================== Nov 07 23:53:32 EXCEPTION LOG STARTS: VCD: unknown value :- Nov 07 23:53:32 D:\workspace\plasma\auxiliary\tbench.vcd:705,1: VCD: unknown value :- at org.zamia.instgraph.sim.vcd.VCDData.addBinaryVector(VCDData.java:258) at org.zamia.instgraph.sim.vcd.parser.VCDParser.vector_value_change(VCDParser.java:444) at org.zamia.instgraph.sim.vcd.parser.VCDParser.value_change(VCDParser.java:424) at org.zamia.instgraph.sim.vcd.parser.VCDParser.simulation_command(VCDParser.java:380) at org.zamia.instgraph.sim.vcd.parser.VCDParser.value_change_dump_definitions(VCDParser.java:131) at org.zamia.instgraph.sim.vcd.parser.VCDParser.parse(VCDParser.java:65) at org.zamia.instgraph.sim.vcd.VCDImport.open(VCDImport.java:58) at org.zamia.plugin.views.sim.SimulatorView$SimRunJob.run(SimulatorView.java:1623) at org.eclipse.core.internal.jobs.Worker.run(Worker.java:53) Nov 07 23:53:32 Nov 07 23:53:32 Full path: D:\workspace\plasma\auxiliary\tbench.vcd Nov 07 23:53:32 Nov 07 23:53:32 -------------------------------------------------------------------------- Nov 07 23:53:32 Source code excerpt: Nov 07 23:53:32 D:\workspace\plasma\auxiliary\tbench.vcd Nov 07 23:53:32 701: U|# Nov 07 23:53:32 702: 0}# Nov 07 23:53:32 703: 1!$ Nov 07 23:53:32 704: bUUUUUUUU "$ Nov 07 23:53:32 * 705: b-------- #$ Nov 07 23:53:32 706: 0$$ Nov 07 23:53:32 707: U%$ Nov 07 23:53:32 708: 0&$ Nov 07 23:53:32 -------------------------------------------------------------------------- Nov 07 23:53:32 Nov 07 23:53:32 ========================================================================== Nov 07 23:53:32 org.eclipse.swt.SWTException: Invalid thread access at org.eclipse.swt.SWT.error(SWT.java:4361) at org.eclipse.swt.SWT.error(SWT.java:4276) at org.eclipse.swt.SWT.error(SWT.java:4247) at org.eclipse.swt.widgets.Widget.error(Widget.java:468) at org.eclipse.swt.widgets.Widget.checkWidget(Widget.java:359) at org.eclipse.swt.widgets.Control.getShell(Control.java:1556) at org.zamia.plugin.views.sim.SimulatorView$SimRunJob.run(SimulatorView.java:1635) at org.eclipse.core.internal.jobs.Worker.run(Worker.java:53) Nov 07 23:53:32 -------------------------------------------------------------------------- [/console] If anyone is aware of this problem, please shed some light. Thank you. -- Indigo |
From: Guenter B. <gue...@go...> - 2012-05-31 13:21:25
|
Candita Cosimo, On Mon, May 28, 2012 at 8:51 PM, Candita Cosimo <can...@ya...> wrote: > Hi all, > > I found zamiaCAD over the web because I'm looking for a CAD that helps me in develop vhdl projects. In particular I'm interested in generating a schematic from a vhdl project. Is it possible to do it in zamiaCAD? > > Opening the CAD I found the "RTL Graph Viewer", but no documentation on how use it. > > Could you help me please? sorry, this feature is not ready for end-users right now, but if you're a developer and would like to look into it, here is a good starting point: http://sourceforge.net/mailarchive/message.php?msg_id=27091031 best, guenter |
From: Candita C. <can...@ya...> - 2012-05-28 18:51:18
|
Hi all, I found zamiaCAD over the web because I'm looking for a CAD that helps me in develop vhdl projects. In particular I'm interested in generating a schematic from a vhdl project. Is it possible to do it in zamiaCAD? Opening the CAD I found the "RTL Graph Viewer", but no documentation on how use it. Could you help me please? -- Candita Cosimo <can...@ya...> Electronic Engineering Politecnico di Torino |
From: ht-lab <ha...@ht...> - 2012-02-16 19:05:45
|
Hi Guys, The following code: signal divis_rect_s : std_logic_vector(WIDTH_DIVIS-1 downto 0); signal remain_s : std_logic_vector (WIDTH_DIVIS-1 downto 0); overflow_s <= '1' when ((remain_s>=divis_rect_s) or (zerod_s='1')) else '0'; gives an unimplemented error, is this correct? The reason for asking is this look like quite a basic operation so I suspect something else is resulting in the error (perhaps the generics?) Feb 16 18:34:21 /vhdl/std_logic_unsigned.vhdl Feb 16 18:34:21 252: Feb 16 18:34:21 253: function ">="(L: STD_LOGIC_VECTOR; R: STD_LOGIC_VECTOR) return BOOLEAN is Feb 16 18:34:21 254: -- pragma label_applies_to geq Feb 16 18:34:21 255: begin Feb 16 18:34:21 * 256: return UNSIGNED(L) >= UNSIGNED(R); -- pragma label geq Feb 16 18:34:21 257: end; Feb 16 18:34:21 258: Feb 16 18:34:21 259: function ">="(L: STD_LOGIC_VECTOR; R: INTEGER) return BOOLEAN is Feb 16 18:34:21 -------------------------------------------------------------------------- Feb 16 18:34:21 ZamiaPlugin: showError(): title=Failed to load Built-In simulator, msg=Failed to load Built-In simulator, reason=/vhdl/std_logic_unsigned.vhdl:256,18: Sorry, unimplemented builtin: ARRAY_GREATEREQ Regards, Hans. |
From: Gideon K. <gka...@gm...> - 2012-01-24 17:27:06
|
Hi Anton, Thank you for fixing this. Please note that it still wasn't 100% smooth since I had to uninstall 0.10.1 manually first before Eclipse allowed installing 0.10.2. Somehow, Eclipse identified the conflict between the two, but was unable to upgrade automatically (it didn't detect any available software updates when I tried that). Nevertheless, it looks great! Best regards and thank you, Gideon On 24/1/2012 16:53, Anton Chepurov wrote: > Hi Gideon, > > Sorry for the mess with the Update Site. It took a while to figure out > which particular directory had to be updated. > > Now the Update Site should be up to date: > > http://zamiacad.sourceforge.net/web/?q=download > > Regards, > Anton > > > > On Sun, Jan 22, 2012 at 6:28 PM, Gideon Kaempfer<gka...@gm...> wrote: >> Hi, >> I am eager to test 0.10.2 after a happy period with 0.10.1, however it >> seems that the Eclipse update site is still at 0.10.1 and the Git >> repository also seems to be stuck there. Hence, I cannot update my >> Eclipse installation(s). >> The only location I see 0.10.2 is on SourceForge files which requires >> downloading files one by one. >> Can this inconsistency be fixed somehow? >> Best regards, >> Gideon >> >> ------------------------------------------------------------------------------ >> Try before you buy = See our experts in action! >> The most comprehensive online learning library for Microsoft developers >> is just $99.99! Visual Studio, SharePoint, SQL - plus HTML5, CSS3, MVC3, >> Metro Style Apps, more. Free future releases when you subscribe now! >> http://p.sf.net/sfu/learndevnow-dev2 >> _______________________________________________ >> Zamiacad-user mailing list >> Zam...@li... >> https://lists.sourceforge.net/lists/listinfo/zamiacad-user |
From: Anton C. <ant...@gm...> - 2012-01-24 14:53:59
|
Hi Gideon, Sorry for the mess with the Update Site. It took a while to figure out which particular directory had to be updated. Now the Update Site should be up to date: http://zamiacad.sourceforge.net/web/?q=download Regards, Anton On Sun, Jan 22, 2012 at 6:28 PM, Gideon Kaempfer <gka...@gm...> wrote: > Hi, > I am eager to test 0.10.2 after a happy period with 0.10.1, however it > seems that the Eclipse update site is still at 0.10.1 and the Git > repository also seems to be stuck there. Hence, I cannot update my > Eclipse installation(s). > The only location I see 0.10.2 is on SourceForge files which requires > downloading files one by one. > Can this inconsistency be fixed somehow? > Best regards, > Gideon > > ------------------------------------------------------------------------------ > Try before you buy = See our experts in action! > The most comprehensive online learning library for Microsoft developers > is just $99.99! Visual Studio, SharePoint, SQL - plus HTML5, CSS3, MVC3, > Metro Style Apps, more. Free future releases when you subscribe now! > http://p.sf.net/sfu/learndevnow-dev2 > _______________________________________________ > Zamiacad-user mailing list > Zam...@li... > https://lists.sourceforge.net/lists/listinfo/zamiacad-user |
From: Gideon K. <gka...@gm...> - 2012-01-22 16:28:53
|
Hi, I am eager to test 0.10.2 after a happy period with 0.10.1, however it seems that the Eclipse update site is still at 0.10.1 and the Git repository also seems to be stuck there. Hence, I cannot update my Eclipse installation(s). The only location I see 0.10.2 is on SourceForge files which requires downloading files one by one. Can this inconsistency be fixed somehow? Best regards, Gideon |
From: Renan M. <ren...@uf...> - 2011-11-21 12:57:29
|
Hello there, thanks for both suggestions and support. It turns out I had to install another two packages on a "try-fail" basis. They were: community/eclipse-gef 3.7.0-1 eclipse-emf-2.7.0-1 Best regards. Em 20-11-2011 14:32, Guenter Bartsch escreveu: > Roman, > > On Fri, Nov 18, 2011 at 7:37 PM, Renan Manola<ren...@uf...> wrote: >> Hello, I'm a total beginner both at eclipse and zamiacad. I have tried >> to install it using the update site way and it resulted in: >> >> Cannot complete the install because one or more required items could not >> be found. >> Software being installed: zamiaCAD 0.10.1 >> (zamiacad_feature.feature.group 0.10.1) >> Missing requirement: zamiaCAD Plugin 0.10.1 (org.zamia.plugin 0.10.1) >> requires 'bundle org.eclipse.emf.common 0.0.0' but it could not be found >> Cannot satisfy dependency: >> From: zamiaCAD 0.10.1 (zamiacad_feature.feature.group 0.10.1) >> To: org.zamia.plugin [0.10.1] >> >> I use archlinux and I have this package installed: eclipse-3.7.1-2-x86_64 > just a wild guess: you will need to install the EMF plugins and a few > more in eclipse before you can install the zamia bundle. Try > > Help -> Install New Software... > > and search for the missing bundles. last time i checked, the easiest > strategy was to install the "Graphical Modeling Framework SDK" which > will then bring most of what you need as a dependency. > > best, > > guenter -- Renan Manola |
From: Maksim J. <ma...@at...> - 2011-11-20 16:43:48
|
Hello Renan, Thank you for your interest! Probably you have installed an eclipse package without EMF (Eclipse Modeling Framework). Try to install it to your eclipse separately using its update site (search for 'emf' there) before installing zamiaCAD. Best regards, Maksim On 18/11/2011 20:37, Renan Manola wrote: > Hello, I'm a total beginner both at eclipse and zamiacad. I have tried > to install it using the update site way and it resulted in: > > Cannot complete the install because one or more required items could not > be found. > Software being installed: zamiaCAD 0.10.1 > (zamiacad_feature.feature.group 0.10.1) > Missing requirement: zamiaCAD Plugin 0.10.1 (org.zamia.plugin 0.10.1) > requires 'bundle org.eclipse.emf.common 0.0.0' but it could not be found > Cannot satisfy dependency: > From: zamiaCAD 0.10.1 (zamiacad_feature.feature.group 0.10.1) > To: org.zamia.plugin [0.10.1] > > I use archlinux and I have this package installed: eclipse-3.7.1-2-x86_64 > > Best regards. > |
From: Guenter B. <gue...@go...> - 2011-11-20 16:33:04
|
Roman, On Fri, Nov 18, 2011 at 7:37 PM, Renan Manola <ren...@uf...> wrote: > Hello, I'm a total beginner both at eclipse and zamiacad. I have tried > to install it using the update site way and it resulted in: > > Cannot complete the install because one or more required items could not > be found. > Software being installed: zamiaCAD 0.10.1 > (zamiacad_feature.feature.group 0.10.1) > Missing requirement: zamiaCAD Plugin 0.10.1 (org.zamia.plugin 0.10.1) > requires 'bundle org.eclipse.emf.common 0.0.0' but it could not be found > Cannot satisfy dependency: > From: zamiaCAD 0.10.1 (zamiacad_feature.feature.group 0.10.1) > To: org.zamia.plugin [0.10.1] > > I use archlinux and I have this package installed: eclipse-3.7.1-2-x86_64 just a wild guess: you will need to install the EMF plugins and a few more in eclipse before you can install the zamia bundle. Try Help -> Install New Software... and search for the missing bundles. last time i checked, the easiest strategy was to install the "Graphical Modeling Framework SDK" which will then bring most of what you need as a dependency. best, guenter |
From: Renan M. <ren...@uf...> - 2011-11-18 18:54:08
|
Hello, I'm a total beginner both at eclipse and zamiacad. I have tried to install it using the update site way and it resulted in: Cannot complete the install because one or more required items could not be found. Software being installed: zamiaCAD 0.10.1 (zamiacad_feature.feature.group 0.10.1) Missing requirement: zamiaCAD Plugin 0.10.1 (org.zamia.plugin 0.10.1) requires 'bundle org.eclipse.emf.common 0.0.0' but it could not be found Cannot satisfy dependency: From: zamiaCAD 0.10.1 (zamiacad_feature.feature.group 0.10.1) To: org.zamia.plugin [0.10.1] I use archlinux and I have this package installed: eclipse-3.7.1-2-x86_64 Best regards. -- Renan Manola |