[Uvm-git] [UVM:GIT Sourceforge] uvm branch, UVM_1_2, updated. UVM_1_2_RELEASE-40-gde3f150
Status: Alpha
Brought to you by:
ambarsarkar
From: Justin R. <ec...@us...> - 2014-05-21 16:42:09
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2 has been updated via de3f150d2c8019ca218b7864ab944cfe7a982142 (commit) via e540eb6416f36c23e32e87dc8f6dd16914d736da (commit) via c7b991d816d85c327941426e1bb35c1fe575c317 (commit) via a9102f7af3b78492be1665eb9fc2fbcae0af36c0 (commit) via 92f9862e6becce7b69400ba28c387ee20af37022 (commit) via 591c1a0dac287b5d2409401ff2d90717664f61d0 (commit) via 17bb7ef634648143727db2b58d0b6cbd45330484 (commit) via 47fbceec987ab8d97d961211f6f0cfc37e3862ee (commit) via faddfc1e02bbcb7c1955410f9757dff5e8fac82b (commit) via d1ff29a45c66d78a0b0734102b56de559872fe78 (commit) via e921b5720e77422288b8d7beb27754103899a90f (commit) via 0e3a5cb8ffb56b8f78498b816b80975c78668164 (commit) via 0953a7952ca7dcad9ac39e38122b789ddee702c3 (commit) via ac521603ccad9b7a6030c9f20011d8691e5f7dd5 (commit) via 42dc088f825b31b6d62515d5bf30d9294d75d693 (commit) via d520a42ecf837683fabd2209d2d7557a36a23c62 (commit) via dc3c3a97db8dd6d97434331865e04f569e33b3c0 (commit) via 477ddf2ca499ca61d0a50e3550e0807bf3a55567 (commit) via f6040590716defad69b540a80370a41832d3dd5c (commit) via 7aedd50c3f1d1aa679999b43dd24306cf60a7a7c (commit) via 5fbfe630eabab647379a12cc0f5dbd8e3cbe7519 (commit) via de7690766f7faaa95c3a510e27802d52c5e74cac (commit) via c59c3b564a8aa5bc15153c889cc9aa8e99061ade (commit) via b13da2182db46b15c427323719c242e6774c5df9 (commit) via ecc8bff75040bf9878a6cea18f2ab0bea00c69d9 (commit) from c578b03f6de9a66f5542bdf1091577760335cdbd (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit de3f150d2c8019ca218b7864ab944cfe7a982142 Merge: e540eb6 0e3a5cb Author: Justin Refice <jr...@nv...> Date: Wed May 21 09:37:07 2014 -0700 Merge branch 'UVM_1_2_DEFAULT_FIX' of ssh://git.code.sf.net/p/uvm/code into UVM_1_2_DEFAULT_FIX Conflicts: distrib/src/reg/uvm_reg_model.svh commit e540eb6416f36c23e32e87dc8f6dd16914d736da Author: Adiel Khan <ad...@sy...> Date: Fri May 16 08:36:17 2014 -0700 fixed an introduced typo: decendants commit c7b991d816d85c327941426e1bb35c1fe575c317 Author: Adiel Khan <ad...@sy...> Date: Fri May 16 08:16:17 2014 -0700 fixed an introduced typo commit a9102f7af3b78492be1665eb9fc2fbcae0af36c0 Author: Adiel Khan <ad...@sy...> Date: Fri May 16 08:06:55 2014 -0700 BOD typos from xls sheet 202-400 commit 92f9862e6becce7b69400ba28c387ee20af37022 Author: Mark Strickland <mas...@ci...> Date: Wed May 14 14:42:25 2014 -0400 Fixing spelling errors noted in email from Martin Barnasconi commit 591c1a0dac287b5d2409401ff2d90717664f61d0 Author: Mark Strickland <mas...@ci...> Date: Tue May 6 15:49:28 2014 -0400 Added the Title: information so this text would show in Menu commit 17bb7ef634648143727db2b58d0b6cbd45330484 Author: Mark Strickland <mas...@ci...> Date: Tue May 6 13:43:19 2014 -0400 Adding overview section to Macros and Globals commit 47fbceec987ab8d97d961211f6f0cfc37e3862ee Author: Jamsheed Agahi <ja...@se...> Date: Mon May 5 15:09:43 2014 -0700 style changes: change null to italic; methods names in <> to appear as blue links commit faddfc1e02bbcb7c1955410f9757dff5e8fac82b Author: Jamsheed Agahi <ja...@se...> Date: Mon May 5 12:56:25 2014 -0700 spelling corrections commit d1ff29a45c66d78a0b0734102b56de559872fe78 Author: Mark Strickland <mas...@ci...> Date: Wed Apr 23 16:17:27 2014 -0400 Added white space to method default assignments to 0 so NaturalDocs picks them up correctly commit e921b5720e77422288b8d7beb27754103899a90f Author: Mark Strickland <mas...@ci...> Date: Wed Apr 23 14:39:55 2014 -0400 Changed file=0 to file = 0 to make ND work better commit 0e3a5cb8ffb56b8f78498b816b80975c78668164 Author: Adiel Khan <ad...@sy...> Date: Fri May 16 08:36:17 2014 -0700 fixed an introduced typo: decendants commit 0953a7952ca7dcad9ac39e38122b789ddee702c3 Author: Adiel Khan <ad...@sy...> Date: Fri May 16 08:16:17 2014 -0700 fixed an introduced typo commit ac521603ccad9b7a6030c9f20011d8691e5f7dd5 Author: Adiel Khan <ad...@sy...> Date: Fri May 16 08:06:55 2014 -0700 BOD typos from xls sheet 202-400 commit 42dc088f825b31b6d62515d5bf30d9294d75d693 Author: Mark Strickland <mas...@ci...> Date: Wed May 14 14:42:25 2014 -0400 Fixing spelling errors noted in email from Martin Barnasconi commit d520a42ecf837683fabd2209d2d7557a36a23c62 Author: Mark Strickland <mas...@ci...> Date: Tue May 6 15:49:28 2014 -0400 Added the Title: information so this text would show in Menu commit dc3c3a97db8dd6d97434331865e04f569e33b3c0 Merge: 477ddf2 5fbfe63 Author: Mark Strickland <mas...@ci...> Date: Tue May 6 14:01:28 2014 -0400 Merge branch 'UVM_1_2_DEFAULT_FIX' of ssh://git.code.sf.net/p/uvm/code into UVM_1_2_DEFAULT_FIX Conflicts: distrib/src/tlm2/uvm_tlm2_generic_payload.svh commit 477ddf2ca499ca61d0a50e3550e0807bf3a55567 Author: Mark Strickland <mas...@ci...> Date: Tue May 6 13:43:19 2014 -0400 Adding overview section to Macros and Globals commit f6040590716defad69b540a80370a41832d3dd5c Author: Mark Strickland <mas...@ci...> Date: Wed Apr 23 16:17:27 2014 -0400 Added white space to method default assignments to 0 so NaturalDocs picks them up correctly commit 7aedd50c3f1d1aa679999b43dd24306cf60a7a7c Author: Mark Strickland <mas...@ci...> Date: Wed Apr 23 14:39:55 2014 -0400 Changed file=0 to file = 0 to make ND work better commit 5fbfe630eabab647379a12cc0f5dbd8e3cbe7519 Author: Jamsheed Agahi <ja...@se...> Date: Mon May 5 15:09:43 2014 -0700 style changes: change null to italic; methods names in <> to appear as blue links commit de7690766f7faaa95c3a510e27802d52c5e74cac Author: Jamsheed Agahi <ja...@se...> Date: Mon May 5 12:56:25 2014 -0700 spelling corrections commit c59c3b564a8aa5bc15153c889cc9aa8e99061ade Author: Jamsheed Agahi <ja...@se...> Date: Mon May 5 11:24:15 2014 -0700 spelling correction commit b13da2182db46b15c427323719c242e6774c5df9 Author: Mark Strickland <mas...@ci...> Date: Wed Apr 23 16:17:27 2014 -0400 Added white space to method default assignments to 0 so NaturalDocs picks them up correctly commit ecc8bff75040bf9878a6cea18f2ab0bea00c69d9 Author: Mark Strickland <mas...@ci...> Date: Wed Apr 23 14:39:55 2014 -0400 Changed file=0 to file = 0 to make ND work better ----------------------------------------------------------------------- Summary of changes: distrib/examples/simple/tlm1/fifo/test.sv | 4 +- .../examples/simple/tlm1/hierarchy/hierarchy.sv | 2 +- distrib/src/base/uvm_callback.svh | 36 +++++++++--------- distrib/src/base/uvm_component.svh | 18 ++++---- distrib/src/base/uvm_config_db.svh | 6 +- distrib/src/base/uvm_coreservice.svh | 4 +- distrib/src/base/uvm_event.svh | 6 +- distrib/src/base/uvm_factory.svh | 2 +- distrib/src/base/uvm_heartbeat.svh | 6 +- distrib/src/base/uvm_misc.svh | 2 +- distrib/src/base/uvm_object.svh | 10 ++-- distrib/src/base/uvm_object_globals.svh | 2 +- distrib/src/base/uvm_objection.svh | 14 +++--- distrib/src/base/uvm_packer.svh | 12 +++--- distrib/src/base/uvm_phase.svh | 22 +++++----- distrib/src/base/uvm_pool.svh | 2 +- distrib/src/base/uvm_port_base.svh | 4 +- distrib/src/base/uvm_printer.svh | 6 +- distrib/src/base/uvm_report_catcher.svh | 4 +- distrib/src/base/uvm_report_handler.svh | 2 +- distrib/src/base/uvm_report_object.svh | 4 +- distrib/src/base/uvm_report_server.svh | 12 +++--- distrib/src/base/uvm_resource.svh | 40 ++++++++++---------- distrib/src/base/uvm_resource_db.svh | 8 ++-- distrib/src/base/uvm_root.svh | 6 +- distrib/src/base/uvm_transaction.svh | 8 ++-- distrib/src/comps/uvm_algorithmic_comparator.svh | 2 +- distrib/src/dpi/uvm_hdl.svh | 2 +- distrib/src/reg/uvm_mem.svh | 24 ++++++------ distrib/src/reg/uvm_mem_mam.svh | 2 +- distrib/src/reg/uvm_reg.svh | 24 ++++++------ distrib/src/reg/uvm_reg_adapter.svh | 2 +- distrib/src/reg/uvm_reg_block.svh | 28 +++++++------- distrib/src/reg/uvm_reg_cbs.svh | 2 +- distrib/src/reg/uvm_reg_field.svh | 18 ++++---- distrib/src/reg/uvm_reg_fifo.svh | 2 +- distrib/src/reg/uvm_reg_file.svh | 10 ++-- distrib/src/reg/uvm_reg_map.svh | 10 ++-- distrib/src/reg/uvm_reg_model.svh | 2 +- distrib/src/reg/uvm_reg_predictor.svh | 2 +- distrib/src/reg/uvm_vreg.svh | 2 +- distrib/src/seq/uvm_sequence.svh | 2 +- distrib/src/seq/uvm_sequence_base.svh | 10 ++-- distrib/src/seq/uvm_sequence_item.svh | 6 +- distrib/src/seq/uvm_sequencer.svh | 4 +- distrib/src/seq/uvm_sequencer_base.svh | 6 +- distrib/src/seq/uvm_sequencer_param_base.svh | 8 ++-- distrib/src/tlm1/uvm_ports.svh | 4 +- distrib/src/tlm1/uvm_sqr_ifs.svh | 26 ++++++------ distrib/src/tlm1/uvm_tlm_fifo_base.svh | 2 +- distrib/src/tlm1/uvm_tlm_fifos.svh | 2 +- distrib/src/tlm1/uvm_tlm_ifs.svh | 2 +- distrib/src/tlm1/uvm_tlm_req_rsp.svh | 6 +- distrib/src/tlm2/uvm_tlm2_generic_payload.svh | 2 +- distrib/src/tlm2/uvm_tlm2_ports.svh | 2 +- uvm_ref/globals.txt | 3 + uvm_ref/macros.txt | 5 ++ uvm_ref/nd/Proj/UVM_Menu.txt | 2 + uvm_ref/tlm_ifs_and_ports.txt | 4 +- 59 files changed, 240 insertions(+), 230 deletions(-) create mode 100644 uvm_ref/globals.txt create mode 100644 uvm_ref/macros.txt hooks/post-receive -- uvm |