[Uvm-git] [UVM:GIT Sourceforge] uvm branch, UVM_1_2, updated. UVM_1_2_RELEASE_RC6_WITHHTMLDOC-6-gf8
Status: Alpha
Brought to you by:
ambarsarkar
From: Tom F. <tf...@us...> - 2014-05-05 19:24:00
|
This is an automated email from the git hooks/post-receive script. It was generated because a ref change was pushed to the repository containing the project "uvm". The branch, UVM_1_2 has been updated via f88a4061dc5aed9d4aa80fbbb0a0fca55edcf581 (commit) via aa9d1c83db0730282e1d7c407c3b7d57b74c484e (commit) via ffa49a02f72ea585629918498cdc44aaf9d04235 (commit) via 9c279b93e39cdb08b4bb693c85d0f04e62b72f87 (commit) via e6980100360f30389bc30803101d01ef97e8bf98 (commit) from 5f151cc988a0dbe0d52993220374967d5f46c545 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email; so we list those revisions in full, below. - Log ----------------------------------------------------------------- commit f88a4061dc5aed9d4aa80fbbb0a0fca55edcf581 Merge: aa9d1c8 5f151cc Author: Tom Fitzpatrick <tfitzpat@localhost.localdomain> Date: Mon May 5 12:17:42 2014 -0700 Merge branch 'UVM_1_2' of ssh://git.code.sf.net/p/uvm/code into UVM_1_2 trying to fix detached head commit aa9d1c83db0730282e1d7c407c3b7d57b74c484e Merge: ffa49a0 9c279b9 Author: Tom Fitzpatrick <tfitzpat@localhost.localdomain> Date: Mon May 5 12:13:58 2014 -0700 Merge commit '9c279b9' into HEAD Trying to fix 'detached' git branch problem commit ffa49a02f72ea585629918498cdc44aaf9d04235 Author: Tom Fitzpatrick <tfitzpat@localhost.localdomain> Date: Wed Apr 23 10:02:02 2014 -0700 Fixed typos reported to Accellera Board commit 9c279b93e39cdb08b4bb693c85d0f04e62b72f87 Author: Tom Fitzpatrick <tfitzpat@localhost.localdomain> Date: Wed Apr 23 10:02:02 2014 -0700 Fixed typos reported to Accellera Board commit e6980100360f30389bc30803101d01ef97e8bf98 Author: uwes <uw...@ca...> Date: Fri Apr 11 15:15:19 2014 +0300 commited docs for UVM_1_2_RELEASE ----------------------------------------------------------------------- Summary of changes: distrib/docs/html/files/base/uvm_barrier-svh.html | 263 ++ .../html/files/base/uvm_bottomup_phase-svh.html | 177 + distrib/docs/html/files/base/uvm_callback-svh.html | 835 +++++ .../html/files/base/uvm_cmdline_processor-svh.html | 512 +++ .../html/files/base/uvm_common_phases-svh.html | 542 +++ distrib/docs/html/files/base/uvm_comparer-svh.html | 482 +++ .../docs/html/files/base/uvm_component-svh.html | 3145 +++++++++++++++++ .../docs/html/files/base/uvm_config_db-svh.html | 440 +++ .../docs/html/files/base/uvm_coreservice-svh.html | 421 +++ distrib/docs/html/files/base/uvm_domain-svh.html | 235 ++ distrib/docs/html/files/base/uvm_event-svh.html | 471 +++ .../html/files/base/uvm_event_callback-svh.html | 206 ++ distrib/docs/html/files/base/uvm_factory-svh.html | 1825 ++++++++++ distrib/docs/html/files/base/uvm_globals-svh.html | 849 +++++ .../docs/html/files/base/uvm_heartbeat-svh.html | 275 ++ distrib/docs/html/files/base/uvm_links-svh.html | 997 ++++++ distrib/docs/html/files/base/uvm_misc-svh.html | 210 ++ distrib/docs/html/files/base/uvm_object-svh.html | 1376 ++++++++ .../html/files/base/uvm_object_globals-svh.html | 537 +++ .../docs/html/files/base/uvm_objection-svh.html | 951 +++++ distrib/docs/html/files/base/uvm_packer-svh.html | 792 +++++ distrib/docs/html/files/base/uvm_phase-svh.html | 1338 +++++++ distrib/docs/html/files/base/uvm_pool-svh.html | 667 ++++ .../docs/html/files/base/uvm_port_base-svh.html | 782 +++++ distrib/docs/html/files/base/uvm_printer-svh.html | 1064 ++++++ distrib/docs/html/files/base/uvm_queue-svh.html | 362 ++ distrib/docs/html/files/base/uvm_recorder-svh.html | 1594 +++++++++ distrib/docs/html/files/base/uvm_registry-svh.html | 609 ++++ .../html/files/base/uvm_report_catcher-svh.html | 1193 +++++++ .../html/files/base/uvm_report_handler-svh.html | 229 ++ .../html/files/base/uvm_report_message-svh.html | 1632 +++++++++ .../html/files/base/uvm_report_object-svh.html | 1243 +++++++ .../html/files/base/uvm_report_server-svh.html | 1264 +++++++ distrib/docs/html/files/base/uvm_resource-svh.html | 1769 ++++++++++ .../docs/html/files/base/uvm_resource_db-svh.html | 664 ++++ distrib/docs/html/files/base/uvm_root-svh.html | 434 +++ .../html/files/base/uvm_runtime_phases-svh.html | 676 ++++ .../docs/html/files/base/uvm_task_phase-svh.html | 221 ++ .../html/files/base/uvm_topdown_phase-svh.html | 177 + .../docs/html/files/base/uvm_tr_database-svh.html | 623 ++++ .../docs/html/files/base/uvm_tr_stream-svh.html | 723 ++++ .../docs/html/files/base/uvm_transaction-svh.html | 806 +++++ .../docs/html/files/base/uvm_traversal-svh.html | 559 +++ distrib/docs/html/files/comps/uvm_agent-svh.html | 127 + .../comps/uvm_algorithmic_comparator-svh.html | 231 ++ distrib/docs/html/files/comps/uvm_driver-svh.html | 170 + distrib/docs/html/files/comps/uvm_env-svh.html | 122 + .../files/comps/uvm_in_order_comparator-svh.html | 233 ++ distrib/docs/html/files/comps/uvm_monitor-svh.html | 114 + distrib/docs/html/files/comps/uvm_pair-svh.html | 348 ++ .../docs/html/files/comps/uvm_policies-svh.html | 338 ++ .../docs/html/files/comps/uvm_push_driver-svh.html | 170 + .../html/files/comps/uvm_random_stimulus-svh.html | 206 ++ .../docs/html/files/comps/uvm_scoreboard-svh.html | 114 + .../docs/html/files/comps/uvm_subscriber-svh.html | 181 + distrib/docs/html/files/comps/uvm_test-svh.html | 128 + .../html/files/dap/uvm_get_to_lock_dap-svh.html | 236 ++ .../html/files/dap/uvm_set_before_get_dap-svh.html | 255 ++ .../html/files/dap/uvm_set_get_dap_base-svh.html | 230 ++ .../html/files/dap/uvm_simple_lock_dap-svh.html | 284 ++ distrib/docs/html/files/dpi/uvm_hdl-svh.html | 311 ++ .../files/macros/uvm_callback_defines-svh.html | 160 + .../html/files/macros/uvm_message_defines-svh.html | 342 ++ .../html/files/macros/uvm_object_defines-svh.html | 1120 ++++++ .../html/files/macros/uvm_reg_defines-svh.html | 84 + .../files/macros/uvm_sequence_defines-svh.html | 378 ++ .../html/files/macros/uvm_tlm_defines-svh.html | 297 ++ .../html/files/macros/uvm_version_defines-svh.html | 151 + distrib/docs/html/files/overviews/base-txt.html | 45 + .../docs/html/files/overviews/cmdlineproc-txt.html | 41 + .../docs/html/files/overviews/comparators-txt.html | 39 + .../docs/html/files/overviews/components-txt.html | 41 + .../html/files/overviews/config_and_res-txt.html | 65 + .../docs/html/files/overviews/containers-txt.html | 67 + distrib/docs/html/files/overviews/dap-txt.html | 39 + distrib/docs/html/files/overviews/factory-txt.html | 93 + distrib/docs/html/files/overviews/intro-txt.html | 63 + distrib/docs/html/files/overviews/phasing-txt.html | 65 + .../docs/html/files/overviews/policies-txt.html | 53 + .../docs/html/files/overviews/recording-txt.html | 41 + .../docs/html/files/overviews/registers-txt.html | 39 + .../docs/html/files/overviews/relnotes-txt.html | 1727 +++++++++ .../docs/html/files/overviews/reporting-txt.html | 45 + .../docs/html/files/overviews/sequencers-txt.html | 121 + .../docs/html/files/overviews/sequences-txt.html | 57 + distrib/docs/html/files/overviews/synchro-txt.html | 77 + .../html/files/overviews/test-phasing-txt.html | 77 + distrib/docs/html/files/overviews/tlm1-txt.html | 323 ++ distrib/docs/html/files/overviews/tlm2-txt.html | 63 + .../files/overviews/tlm_ifs_and_ports-txt.html | 75 + .../reg/sequences/uvm_mem_access_seq-svh.html | 283 ++ .../files/reg/sequences/uvm_mem_walk_seq-svh.html | 344 ++ .../reg/sequences/uvm_reg_access_seq-svh.html | 348 ++ .../reg/sequences/uvm_reg_bit_bash_seq-svh.html | 283 ++ .../reg/sequences/uvm_reg_hw_reset_seq-svh.html | 176 + .../sequences/uvm_reg_mem_built_in_seq-svh.html | 130 + .../sequences/uvm_reg_mem_hdl_paths_seq-svh.html | 123 + .../uvm_reg_mem_shared_access_seq-svh.html | 376 ++ distrib/docs/html/files/reg/uvm_mem-svh.html | 2438 +++++++++++++ distrib/docs/html/files/reg/uvm_mem_mam-svh.html | 1762 ++++++++++ distrib/docs/html/files/reg/uvm_reg-svh.html | 2776 +++++++++++++++ .../docs/html/files/reg/uvm_reg_adapter-svh.html | 396 +++ .../docs/html/files/reg/uvm_reg_backdoor-svh.html | 485 +++ distrib/docs/html/files/reg/uvm_reg_block-svh.html | 2776 +++++++++++++++ distrib/docs/html/files/reg/uvm_reg_cbs-svh.html | 939 +++++ distrib/docs/html/files/reg/uvm_reg_field-svh.html | 1719 +++++++++ distrib/docs/html/files/reg/uvm_reg_fifo-svh.html | 595 ++++ distrib/docs/html/files/reg/uvm_reg_file-svh.html | 472 +++ .../docs/html/files/reg/uvm_reg_indirect-svh.html | 210 ++ distrib/docs/html/files/reg/uvm_reg_item-svh.html | 534 +++ distrib/docs/html/files/reg/uvm_reg_map-svh.html | 1646 +++++++++ distrib/docs/html/files/reg/uvm_reg_model-svh.html | 461 +++ .../docs/html/files/reg/uvm_reg_predictor-svh.html | 341 ++ .../docs/html/files/reg/uvm_reg_sequence-svh.html | 1997 +++++++++++ distrib/docs/html/files/reg/uvm_vreg-svh.html | 1753 ++++++++++ .../docs/html/files/reg/uvm_vreg_field-svh.html | 1215 +++++++ .../html/files/seq/uvm_push_sequencer-svh.html | 233 ++ distrib/docs/html/files/seq/uvm_sequence-svh.html | 295 ++ .../docs/html/files/seq/uvm_sequence_base-svh.html | 1280 +++++++ .../docs/html/files/seq/uvm_sequence_item-svh.html | 871 +++++ .../html/files/seq/uvm_sequence_library-svh.html | 402 +++ distrib/docs/html/files/seq/uvm_sequencer-svh.html | 444 +++ .../html/files/seq/uvm_sequencer_base-svh.html | 729 ++++ .../files/seq/uvm_sequencer_param_base-svh.html | 411 +++ .../html/files/tlm1/uvm_analysis_port-svh.html | 338 ++ distrib/docs/html/files/tlm1/uvm_exports-svh.html | 140 + distrib/docs/html/files/tlm1/uvm_imps-svh.html | 149 + distrib/docs/html/files/tlm1/uvm_ports-svh.html | 137 + .../html/files/tlm1/uvm_sqr_connections-svh.html | 297 ++ distrib/docs/html/files/tlm1/uvm_sqr_ifs-svh.html | 417 +++ .../html/files/tlm1/uvm_tlm_fifo_base-svh.html | 210 ++ .../docs/html/files/tlm1/uvm_tlm_fifos-svh.html | 323 ++ distrib/docs/html/files/tlm1/uvm_tlm_ifs-svh.html | 486 +++ .../docs/html/files/tlm1/uvm_tlm_req_rsp-svh.html | 417 +++ .../docs/html/files/tlm2/uvm_tlm2_defines-svh.html | 75 + .../docs/html/files/tlm2/uvm_tlm2_exports-svh.html | 249 ++ .../files/tlm2/uvm_tlm2_generic_payload-svh.html | 1276 +++++++ distrib/docs/html/files/tlm2/uvm_tlm2_ifs-svh.html | 283 ++ .../docs/html/files/tlm2/uvm_tlm2_imps-svh.html | 317 ++ .../docs/html/files/tlm2/uvm_tlm2_ports-svh.html | 249 ++ .../docs/html/files/tlm2/uvm_tlm2_sockets-svh.html | 876 +++++ .../html/files/tlm2/uvm_tlm2_sockets_base-svh.html | 542 +++ .../docs/html/files/tlm2/uvm_tlm2_time-svh.html | 424 +++ .../docs/html}/images/bg_column_green.gif | Bin 441 -> 441 bytes .../docs/html}/images/bg_column_green_grey.gif | Bin 87 -> 87 bytes .../docs/html}/images/bg_feature.jpg | Bin 22996 -> 22996 bytes .../docs/html}/images/bg_h3_roundcorners.gif | Bin 145 -> 145 bytes .../docs/html}/images/bg_main.gif | Bin 965 -> 965 bytes .../docs/html}/images/bg_masthead.jpg | Bin 11997 -> 11997 bytes .../docs/html}/images/bg_navbar.gif | Bin 18812 -> 18812 bytes .../docs/html}/images/bg_roundcorners2.gif | Bin 333 -> 333 bytes .../docs/html}/images/bg_tableheader.gif | Bin 169 -> 169 bytes .../docs/html}/images/bg_thick_grey_bar.gif | Bin 254 -> 254 bytes .../docs/html}/images/bullet_GreenOnGrey.gif | Bin 570 -> 570 bytes .../docs/html/images}/uvm_ref_base.gif | Bin 4444 -> 4444 bytes .../docs/html/images}/uvm_ref_comparators.gif | Bin 7795 -> 7795 bytes .../docs/html/images}/uvm_ref_components.gif | Bin 12732 -> 12732 bytes .../docs/html/images}/uvm_ref_factory.gif | Bin 4849 -> 4849 bytes .../docs/html/images}/uvm_ref_phases_uml.gif | Bin 6858 -> 6858 bytes .../docs/html/images}/uvm_ref_recording.gif | Bin 18568 -> 18568 bytes .../docs/html/images}/uvm_ref_reg_class_map.gif | Bin 13528 -> 13528 bytes .../docs/html/images}/uvm_ref_reporting.gif | Bin 5519 -> 5519 bytes .../docs/html/images}/uvm_ref_root.gif | Bin 2933 -> 2933 bytes .../docs/html/images}/uvm_ref_seq_item_ports.gif | Bin 9395 -> 9395 bytes .../docs/html/images}/uvm_ref_sequence.gif | Bin 8290 -> 8290 bytes .../docs/html/images}/uvm_ref_sequencer.gif | Bin 6966 -> 6966 bytes .../docs/html/images}/uvm_ref_sync.gif | Bin 3329 -> 3329 bytes .../docs/html/images}/uvm_ref_tlm_analysis_if.gif | Bin 1743 -> 1743 bytes .../docs/html/images}/uvm_ref_tlm_bidir_ports.gif | Bin 8191 -> 8191 bytes .../docs/html/images}/uvm_ref_tlm_get_peek_ifs.gif | Bin 15520 -> 15520 bytes .../docs/html/images}/uvm_ref_tlm_hierarchy.gif | Bin 7588 -> 7588 bytes .../html/images}/uvm_ref_tlm_master_slave_ifs.gif | Bin 25395 -> 25395 bytes .../docs/html/images}/uvm_ref_tlm_put_ifs.gif | Bin 4464 -> 4464 bytes .../html/images}/uvm_ref_tlm_transport_ifs.gif | Bin 5597 -> 5597 bytes .../docs/html/images}/uvm_ref_tlm_uni_ports.gif | Bin 7105 -> 7105 bytes distrib/docs/html/index.html | 34 + distrib/docs/html/index/Classes.html | 1626 +++++++++ distrib/docs/html/index/Constants.html | 37 + distrib/docs/html/index/Files.html | 47 + distrib/docs/html/index/General.html | 1356 +++++++ distrib/docs/html/index/General10.html | 274 ++ distrib/docs/html/index/General11.html | 3015 ++++++++++++++++ distrib/docs/html/index/General12.html | 950 +++++ distrib/docs/html/index/General2.html | 2402 +++++++++++++ distrib/docs/html/index/General3.html | 336 ++ distrib/docs/html/index/General4.html | 2582 ++++++++++++++ distrib/docs/html/index/General5.html | 1036 ++++++ distrib/docs/html/index/General6.html | 1497 ++++++++ distrib/docs/html/index/General7.html | 2337 +++++++++++++ distrib/docs/html/index/General8.html | 1441 ++++++++ distrib/docs/html/index/General9.html | 2603 ++++++++++++++ distrib/docs/html/index/Macros.html | 32 + distrib/docs/html/index/Methods.html | 2256 ++++++++++++ distrib/docs/html/index/Methods2.html | 1702 +++++++++ distrib/docs/html/index/Methods3.html | 2582 ++++++++++++++ distrib/docs/html/index/Methods4.html | 2419 +++++++++++++ distrib/docs/html/index/Methods5.html | 3681 ++++++++++++++++++++ distrib/docs/html/index/Methods6.html | 2790 +++++++++++++++ distrib/docs/html/index/Methods7.html | 2321 ++++++++++++ distrib/docs/html/index/Ports.html | 62 + distrib/docs/html/index/Types.html | 37 + distrib/docs/html/index/Variables.html | 481 +++ .../docs/html/javascript/main.js | 0 distrib/docs/html/javascript/searchdata.js | 272 ++ {natural_docs => distrib/docs/html}/logo.html | 0 distrib/docs/html/menu.html | 25 + distrib/docs/html/search/ClassesP.html | 28 + distrib/docs/html/search/ClassesU.html | 260 ++ distrib/docs/html/search/ConstantsB.html | 29 + distrib/docs/html/search/ConstantsE.html | 29 + distrib/docs/html/search/ConstantsU.html | 159 + distrib/docs/html/search/FilesA.html | 28 + distrib/docs/html/search/FilesM.html | 28 + distrib/docs/html/search/FilesR.html | 28 + distrib/docs/html/search/FilesT.html | 30 + distrib/docs/html/search/FilesU.html | 29 + distrib/docs/html/search/GeneralA.html | 70 + distrib/docs/html/search/GeneralB.html | 68 + distrib/docs/html/search/GeneralC.html | 97 + distrib/docs/html/search/GeneralD.html | 100 + distrib/docs/html/search/GeneralE.html | 57 + distrib/docs/html/search/GeneralF.html | 59 + distrib/docs/html/search/GeneralG.html | 268 ++ distrib/docs/html/search/GeneralH.html | 40 + distrib/docs/html/search/GeneralI.html | 96 + distrib/docs/html/search/GeneralJ.html | 30 + distrib/docs/html/search/GeneralK.html | 30 + distrib/docs/html/search/GeneralL.html | 46 + distrib/docs/html/search/GeneralM.html | 152 + distrib/docs/html/search/GeneralN.html | 42 + distrib/docs/html/search/GeneralNumbers.html | 32 + distrib/docs/html/search/GeneralO.html | 39 + distrib/docs/html/search/GeneralP.html | 138 + distrib/docs/html/search/GeneralQ.html | 28 + distrib/docs/html/search/GeneralR.html | 111 + distrib/docs/html/search/GeneralS.html | 224 ++ distrib/docs/html/search/GeneralSymbols.html | 219 ++ distrib/docs/html/search/GeneralT.html | 78 + distrib/docs/html/search/GeneralU.html | 530 +++ distrib/docs/html/search/GeneralV.html | 34 + distrib/docs/html/search/GeneralW.html | 54 + distrib/docs/html/search/MacrosSymbols.html | 195 ++ distrib/docs/html/search/MacrosU.html | 37 + distrib/docs/html/search/MethodsA.html | 52 + distrib/docs/html/search/MethodsB.html | 42 + distrib/docs/html/search/MethodsC.html | 69 + distrib/docs/html/search/MethodsD.html | 87 + distrib/docs/html/search/MethodsE.html | 42 + distrib/docs/html/search/MethodsF.html | 47 + distrib/docs/html/search/MethodsG.html | 257 ++ distrib/docs/html/search/MethodsH.html | 33 + distrib/docs/html/search/MethodsI.html | 79 + distrib/docs/html/search/MethodsJ.html | 29 + distrib/docs/html/search/MethodsK.html | 28 + distrib/docs/html/search/MethodsL.html | 38 + distrib/docs/html/search/MethodsM.html | 34 + distrib/docs/html/search/MethodsN.html | 35 + distrib/docs/html/search/MethodsO.html | 31 + distrib/docs/html/search/MethodsP.html | 101 + distrib/docs/html/search/MethodsR.html | 72 + distrib/docs/html/search/MethodsS.html | 173 + distrib/docs/html/search/MethodsT.html | 40 + distrib/docs/html/search/MethodsU.html | 66 + distrib/docs/html/search/MethodsV.html | 28 + distrib/docs/html/search/MethodsW.html | 51 + distrib/docs/html/search/NoResults.html | 22 + distrib/docs/html/search/PortsA.html | 30 + distrib/docs/html/search/PortsB.html | 29 + distrib/docs/html/search/PortsG.html | 31 + distrib/docs/html/search/PortsM.html | 28 + distrib/docs/html/search/PortsP.html | 32 + distrib/docs/html/search/PortsR.html | 33 + distrib/docs/html/search/PortsS.html | 29 + distrib/docs/html/search/PortsT.html | 28 + distrib/docs/html/search/TypesA.html | 28 + distrib/docs/html/search/TypesL.html | 28 + distrib/docs/html/search/TypesU.html | 76 + distrib/docs/html/search/VariablesA.html | 31 + distrib/docs/html/search/VariablesB.html | 35 + distrib/docs/html/search/VariablesC.html | 28 + distrib/docs/html/search/VariablesD.html | 36 + distrib/docs/html/search/VariablesE.html | 36 + distrib/docs/html/search/VariablesF.html | 32 + distrib/docs/html/search/VariablesH.html | 29 + distrib/docs/html/search/VariablesI.html | 30 + distrib/docs/html/search/VariablesK.html | 29 + distrib/docs/html/search/VariablesL.html | 31 + distrib/docs/html/search/VariablesM.html | 48 + distrib/docs/html/search/VariablesN.html | 30 + distrib/docs/html/search/VariablesO.html | 29 + distrib/docs/html/search/VariablesP.html | 38 + distrib/docs/html/search/VariablesR.html | 38 + distrib/docs/html/search/VariablesS.html | 46 + distrib/docs/html/search/VariablesSymbols.html | 44 + distrib/docs/html/search/VariablesT.html | 33 + distrib/docs/html/search/VariablesU.html | 38 + distrib/docs/html/search/VariablesV.html | 29 + distrib/{ => docs/html}/src/base/uvm_barrier.svh | 0 distrib/{ => docs/html}/src/base/uvm_base.svh | 0 .../html}/src/base/uvm_bottomup_phase.svh | 0 distrib/{ => docs/html}/src/base/uvm_callback.svh | 0 .../html}/src/base/uvm_cmdline_processor.svh | 0 .../{ => docs/html}/src/base/uvm_common_phases.svh | 0 distrib/{ => docs/html}/src/base/uvm_comparer.svh | 0 distrib/{ => docs/html}/src/base/uvm_component.svh | 0 distrib/{ => docs/html}/src/base/uvm_config_db.svh | 0 .../{ => docs/html}/src/base/uvm_coreservice.svh | 0 distrib/{ => docs/html}/src/base/uvm_domain.svh | 0 distrib/{ => docs/html}/src/base/uvm_event.svh | 0 .../html}/src/base/uvm_event_callback.svh | 0 distrib/{ => docs/html}/src/base/uvm_factory.svh | 0 distrib/{ => docs/html}/src/base/uvm_globals.svh | 0 distrib/{ => docs/html}/src/base/uvm_heartbeat.svh | 0 distrib/{ => docs/html}/src/base/uvm_links.svh | 0 distrib/{ => docs/html}/src/base/uvm_misc.svh | 0 distrib/{ => docs/html}/src/base/uvm_object.svh | 0 .../html}/src/base/uvm_object_globals.svh | 0 distrib/{ => docs/html}/src/base/uvm_objection.svh | 0 distrib/{ => docs/html}/src/base/uvm_packer.svh | 0 distrib/{ => docs/html}/src/base/uvm_phase.svh | 0 distrib/{ => docs/html}/src/base/uvm_pool.svh | 0 distrib/{ => docs/html}/src/base/uvm_port_base.svh | 0 distrib/{ => docs/html}/src/base/uvm_printer.svh | 0 distrib/{ => docs/html}/src/base/uvm_queue.svh | 0 distrib/{ => docs/html}/src/base/uvm_recorder.svh | 0 distrib/{ => docs/html}/src/base/uvm_registry.svh | 0 .../html}/src/base/uvm_report_catcher.svh | 0 .../html}/src/base/uvm_report_handler.svh | 0 .../html}/src/base/uvm_report_message.svh | 0 .../{ => docs/html}/src/base/uvm_report_object.svh | 0 .../{ => docs/html}/src/base/uvm_report_server.svh | 0 distrib/{ => docs/html}/src/base/uvm_resource.svh | 0 .../{ => docs/html}/src/base/uvm_resource_db.svh | 0 .../src/base/uvm_resource_specializations.svh | 0 distrib/{ => docs/html}/src/base/uvm_root.svh | 0 .../html}/src/base/uvm_runtime_phases.svh | 0 .../{ => docs/html}/src/base/uvm_spell_chkr.svh | 0 .../{ => docs/html}/src/base/uvm_task_phase.svh | 0 .../{ => docs/html}/src/base/uvm_topdown_phase.svh | 0 .../{ => docs/html}/src/base/uvm_tr_database.svh | 0 distrib/{ => docs/html}/src/base/uvm_tr_stream.svh | 0 .../{ => docs/html}/src/base/uvm_transaction.svh | 0 distrib/{ => docs/html}/src/base/uvm_traversal.svh | 0 distrib/{ => docs/html}/src/base/uvm_version.svh | 0 distrib/{ => docs/html}/src/comps/uvm_agent.svh | 0 .../html}/src/comps/uvm_algorithmic_comparator.svh | 0 distrib/{ => docs/html}/src/comps/uvm_comps.svh | 0 distrib/{ => docs/html}/src/comps/uvm_driver.svh | 0 distrib/{ => docs/html}/src/comps/uvm_env.svh | 0 .../html}/src/comps/uvm_in_order_comparator.svh | 0 distrib/{ => docs/html}/src/comps/uvm_monitor.svh | 0 distrib/{ => docs/html}/src/comps/uvm_pair.svh | 0 distrib/{ => docs/html}/src/comps/uvm_policies.svh | 0 .../{ => docs/html}/src/comps/uvm_push_driver.svh | 0 .../html}/src/comps/uvm_random_stimulus.svh | 0 .../{ => docs/html}/src/comps/uvm_scoreboard.svh | 0 .../{ => docs/html}/src/comps/uvm_subscriber.svh | 0 distrib/{ => docs/html}/src/comps/uvm_test.svh | 0 distrib/{ => docs/html}/src/dap/uvm_dap.svh | 0 .../html}/src/dap/uvm_get_to_lock_dap.svh | 0 .../html}/src/dap/uvm_set_before_get_dap.svh | 0 .../html}/src/dap/uvm_set_get_dap_base.svh | 0 .../html}/src/dap/uvm_simple_lock_dap.svh | 0 .../html}/src/deprecated/readme.important | 0 .../src/deprecated/uvm_resource_converter.svh | 0 distrib/{ => docs/html}/src/dpi/uvm_common.c | 0 distrib/{ => docs/html}/src/dpi/uvm_dpi.cc | 0 distrib/{ => docs/html}/src/dpi/uvm_dpi.h | 0 distrib/{ => docs/html}/src/dpi/uvm_dpi.svh | 0 distrib/{ => docs/html}/src/dpi/uvm_hdl.c | 0 distrib/{ => docs/html}/src/dpi/uvm_hdl.svh | 0 distrib/docs/html/src/dpi/uvm_hdl_inca.c | 671 ++++ distrib/{ => docs/html}/src/dpi/uvm_hdl_questa.c | 0 distrib/{ => docs/html}/src/dpi/uvm_hdl_vcs.c | 0 distrib/{ => docs/html}/src/dpi/uvm_regex.cc | 0 distrib/{ => docs/html}/src/dpi/uvm_regex.svh | 0 distrib/{ => docs/html}/src/dpi/uvm_svcmd_dpi.c | 0 distrib/{ => docs/html}/src/dpi/uvm_svcmd_dpi.svh | 0 .../html}/src/macros/uvm_callback_defines.svh | 0 .../html}/src/macros/uvm_deprecated_defines.svh | 0 .../html}/src/macros/uvm_message_defines.svh | 0 .../html}/src/macros/uvm_object_defines.svh | 0 .../html}/src/macros/uvm_phase_defines.svh | 0 .../html}/src/macros/uvm_printer_defines.svh | 0 .../{ => docs/html}/src/macros/uvm_reg_defines.svh | 0 .../html}/src/macros/uvm_sequence_defines.svh | 0 .../{ => docs/html}/src/macros/uvm_tlm_defines.svh | 0 .../{ => docs/html}/src/macros/uvm_undefineall.svh | 0 .../html}/src/macros/uvm_version_defines.svh | 0 .../docs/html/src/overviews}/base.txt | 0 .../docs/html/src/overviews}/cmdlineproc.txt | 0 .../docs/html/src/overviews}/comparators.txt | 0 .../docs/html/src/overviews}/components.txt | 0 .../docs/html/src/overviews}/config_and_res.txt | 0 .../docs/html/src/overviews}/containers.txt | 0 .../docs/html/src/overviews}/dap.txt | 0 .../docs/html/src/overviews}/factory.txt | 0 .../docs/html/src/overviews}/intro.txt | 0 .../docs/html/src/overviews}/legal.txt | 0 .../docs/html/src/overviews}/phasing.txt | 0 .../docs/html/src/overviews}/policies.txt | 0 .../docs/html/src/overviews}/recording.txt | 0 .../docs/html/src/overviews}/registers.txt | 0 .../docs/html/src/overviews}/relnotes.txt | 0 .../docs/html/src/overviews}/reporting.txt | 0 .../docs/html/src/overviews}/sequencers.txt | 0 .../docs/html/src/overviews}/sequences.txt | 0 .../docs/html/src/overviews}/synchro.txt | 0 .../docs/html/src/overviews}/test-phasing.txt | 0 .../docs/html/src/overviews}/tlm1.txt | 0 .../docs/html/src/overviews}/tlm2.txt | 0 .../docs/html/src/overviews}/tlm_ifs_and_ports.txt | 0 .../html}/src/reg/sequences/uvm_mem_access_seq.svh | 0 .../html}/src/reg/sequences/uvm_mem_walk_seq.svh | 0 .../html}/src/reg/sequences/uvm_reg_access_seq.svh | 0 .../src/reg/sequences/uvm_reg_bit_bash_seq.svh | 0 .../src/reg/sequences/uvm_reg_hw_reset_seq.svh | 0 .../src/reg/sequences/uvm_reg_mem_built_in_seq.svh | 0 .../reg/sequences/uvm_reg_mem_hdl_paths_seq.svh | 0 .../sequences/uvm_reg_mem_shared_access_seq.svh | 0 distrib/{ => docs/html}/src/reg/uvm_mem.svh | 0 distrib/{ => docs/html}/src/reg/uvm_mem_mam.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg.svh | 0 .../{ => docs/html}/src/reg/uvm_reg_adapter.svh | 0 .../{ => docs/html}/src/reg/uvm_reg_backdoor.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg_block.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg_cbs.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg_field.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg_fifo.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg_file.svh | 0 .../{ => docs/html}/src/reg/uvm_reg_indirect.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg_item.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg_map.svh | 0 distrib/{ => docs/html}/src/reg/uvm_reg_model.svh | 0 .../{ => docs/html}/src/reg/uvm_reg_predictor.svh | 0 .../{ => docs/html}/src/reg/uvm_reg_sequence.svh | 0 distrib/{ => docs/html}/src/reg/uvm_vreg.svh | 0 distrib/{ => docs/html}/src/reg/uvm_vreg_field.svh | 0 .../{ => docs/html}/src/seq/uvm_push_sequencer.svh | 0 distrib/{ => docs/html}/src/seq/uvm_seq.svh | 0 distrib/{ => docs/html}/src/seq/uvm_sequence.svh | 0 .../{ => docs/html}/src/seq/uvm_sequence_base.svh | 0 .../html}/src/seq/uvm_sequence_builtin.svh | 0 .../{ => docs/html}/src/seq/uvm_sequence_item.svh | 0 .../html}/src/seq/uvm_sequence_library.svh | 0 distrib/{ => docs/html}/src/seq/uvm_sequencer.svh | 0 .../html}/src/seq/uvm_sequencer_analysis_fifo.svh | 0 .../{ => docs/html}/src/seq/uvm_sequencer_base.svh | 0 .../html}/src/seq/uvm_sequencer_param_base.svh | 0 .../{ => docs/html}/src/tlm1/uvm_analysis_port.svh | 0 distrib/{ => docs/html}/src/tlm1/uvm_exports.svh | 0 distrib/{ => docs/html}/src/tlm1/uvm_imps.svh | 0 distrib/{ => docs/html}/src/tlm1/uvm_ports.svh | 0 .../html}/src/tlm1/uvm_sqr_connections.svh | 0 distrib/{ => docs/html}/src/tlm1/uvm_sqr_ifs.svh | 0 distrib/{ => docs/html}/src/tlm1/uvm_tlm.svh | 0 .../{ => docs/html}/src/tlm1/uvm_tlm_fifo_base.svh | 0 distrib/{ => docs/html}/src/tlm1/uvm_tlm_fifos.svh | 0 distrib/{ => docs/html}/src/tlm1/uvm_tlm_ifs.svh | 0 distrib/{ => docs/html}/src/tlm1/uvm_tlm_imps.svh | 0 .../{ => docs/html}/src/tlm1/uvm_tlm_req_rsp.svh | 0 distrib/{ => docs/html}/src/tlm2/uvm_tlm2.svh | 0 .../{ => docs/html}/src/tlm2/uvm_tlm2_defines.svh | 0 .../{ => docs/html}/src/tlm2/uvm_tlm2_exports.svh | 0 .../html}/src/tlm2/uvm_tlm2_generic_payload.svh | 0 distrib/{ => docs/html}/src/tlm2/uvm_tlm2_ifs.svh | 0 distrib/{ => docs/html}/src/tlm2/uvm_tlm2_imps.svh | 0 .../{ => docs/html}/src/tlm2/uvm_tlm2_ports.svh | 0 .../{ => docs/html}/src/tlm2/uvm_tlm2_sockets.svh | 0 .../html}/src/tlm2/uvm_tlm2_sockets_base.svh | 0 distrib/{ => docs/html}/src/tlm2/uvm_tlm2_time.svh | 0 distrib/{ => docs/html}/src/uvm.sv | 0 distrib/{ => docs/html}/src/uvm_macros.svh | 0 distrib/{ => docs/html}/src/uvm_pkg.sv | 0 .../Styles => distrib/docs/html/styles}/main.css | 0 distrib/src/base/uvm_component.svh | 6 +- distrib/src/base/uvm_object.svh | 2 +- distrib/src/base/uvm_port_base.svh | 6 +- distrib/src/base/uvm_report_message.svh | 4 +- distrib/src/base/uvm_report_object.svh | 12 +- distrib/src/base/uvm_transaction.svh | 6 +- distrib/src/macros/uvm_message_defines.svh | 6 +- uvm_ref/intro.txt | 8 +- 483 files changed, 127173 insertions(+), 25 deletions(-) create mode 100644 distrib/docs/html/files/base/uvm_barrier-svh.html create mode 100644 distrib/docs/html/files/base/uvm_bottomup_phase-svh.html create mode 100644 distrib/docs/html/files/base/uvm_callback-svh.html create mode 100644 distrib/docs/html/files/base/uvm_cmdline_processor-svh.html create mode 100644 distrib/docs/html/files/base/uvm_common_phases-svh.html create mode 100644 distrib/docs/html/files/base/uvm_comparer-svh.html create mode 100644 distrib/docs/html/files/base/uvm_component-svh.html create mode 100644 distrib/docs/html/files/base/uvm_config_db-svh.html create mode 100644 distrib/docs/html/files/base/uvm_coreservice-svh.html create mode 100644 distrib/docs/html/files/base/uvm_domain-svh.html create mode 100644 distrib/docs/html/files/base/uvm_event-svh.html create mode 100644 distrib/docs/html/files/base/uvm_event_callback-svh.html create mode 100644 distrib/docs/html/files/base/uvm_factory-svh.html create mode 100644 distrib/docs/html/files/base/uvm_globals-svh.html create mode 100644 distrib/docs/html/files/base/uvm_heartbeat-svh.html create mode 100644 distrib/docs/html/files/base/uvm_links-svh.html create mode 100644 distrib/docs/html/files/base/uvm_misc-svh.html create mode 100644 distrib/docs/html/files/base/uvm_object-svh.html create mode 100644 distrib/docs/html/files/base/uvm_object_globals-svh.html create mode 100644 distrib/docs/html/files/base/uvm_objection-svh.html create mode 100644 distrib/docs/html/files/base/uvm_packer-svh.html create mode 100644 distrib/docs/html/files/base/uvm_phase-svh.html create mode 100644 distrib/docs/html/files/base/uvm_pool-svh.html create mode 100644 distrib/docs/html/files/base/uvm_port_base-svh.html create mode 100644 distrib/docs/html/files/base/uvm_printer-svh.html create mode 100644 distrib/docs/html/files/base/uvm_queue-svh.html create mode 100644 distrib/docs/html/files/base/uvm_recorder-svh.html create mode 100644 distrib/docs/html/files/base/uvm_registry-svh.html create mode 100644 distrib/docs/html/files/base/uvm_report_catcher-svh.html create mode 100644 distrib/docs/html/files/base/uvm_report_handler-svh.html create mode 100644 distrib/docs/html/files/base/uvm_report_message-svh.html create mode 100644 distrib/docs/html/files/base/uvm_report_object-svh.html create mode 100644 distrib/docs/html/files/base/uvm_report_server-svh.html create mode 100644 distrib/docs/html/files/base/uvm_resource-svh.html create mode 100644 distrib/docs/html/files/base/uvm_resource_db-svh.html create mode 100644 distrib/docs/html/files/base/uvm_root-svh.html create mode 100644 distrib/docs/html/files/base/uvm_runtime_phases-svh.html create mode 100644 distrib/docs/html/files/base/uvm_task_phase-svh.html create mode 100644 distrib/docs/html/files/base/uvm_topdown_phase-svh.html create mode 100644 distrib/docs/html/files/base/uvm_tr_database-svh.html create mode 100644 distrib/docs/html/files/base/uvm_tr_stream-svh.html create mode 100644 distrib/docs/html/files/base/uvm_transaction-svh.html create mode 100644 distrib/docs/html/files/base/uvm_traversal-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_agent-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_algorithmic_comparator-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_driver-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_env-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_in_order_comparator-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_monitor-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_pair-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_policies-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_push_driver-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_random_stimulus-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_scoreboard-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_subscriber-svh.html create mode 100644 distrib/docs/html/files/comps/uvm_test-svh.html create mode 100644 distrib/docs/html/files/dap/uvm_get_to_lock_dap-svh.html create mode 100644 distrib/docs/html/files/dap/uvm_set_before_get_dap-svh.html create mode 100644 distrib/docs/html/files/dap/uvm_set_get_dap_base-svh.html create mode 100644 distrib/docs/html/files/dap/uvm_simple_lock_dap-svh.html create mode 100644 distrib/docs/html/files/dpi/uvm_hdl-svh.html create mode 100644 distrib/docs/html/files/macros/uvm_callback_defines-svh.html create mode 100644 distrib/docs/html/files/macros/uvm_message_defines-svh.html create mode 100644 distrib/docs/html/files/macros/uvm_object_defines-svh.html create mode 100644 distrib/docs/html/files/macros/uvm_reg_defines-svh.html create mode 100644 distrib/docs/html/files/macros/uvm_sequence_defines-svh.html create mode 100644 distrib/docs/html/files/macros/uvm_tlm_defines-svh.html create mode 100644 distrib/docs/html/files/macros/uvm_version_defines-svh.html create mode 100644 distrib/docs/html/files/overviews/base-txt.html create mode 100644 distrib/docs/html/files/overviews/cmdlineproc-txt.html create mode 100644 distrib/docs/html/files/overviews/comparators-txt.html create mode 100644 distrib/docs/html/files/overviews/components-txt.html create mode 100644 distrib/docs/html/files/overviews/config_and_res-txt.html create mode 100644 distrib/docs/html/files/overviews/containers-txt.html create mode 100644 distrib/docs/html/files/overviews/dap-txt.html create mode 100644 distrib/docs/html/files/overviews/factory-txt.html create mode 100644 distrib/docs/html/files/overviews/intro-txt.html create mode 100644 distrib/docs/html/files/overviews/phasing-txt.html create mode 100644 distrib/docs/html/files/overviews/policies-txt.html create mode 100644 distrib/docs/html/files/overviews/recording-txt.html create mode 100644 distrib/docs/html/files/overviews/registers-txt.html create mode 100644 distrib/docs/html/files/overviews/relnotes-txt.html create mode 100644 distrib/docs/html/files/overviews/reporting-txt.html create mode 100644 distrib/docs/html/files/overviews/sequencers-txt.html create mode 100644 distrib/docs/html/files/overviews/sequences-txt.html create mode 100644 distrib/docs/html/files/overviews/synchro-txt.html create mode 100644 distrib/docs/html/files/overviews/test-phasing-txt.html create mode 100644 distrib/docs/html/files/overviews/tlm1-txt.html create mode 100644 distrib/docs/html/files/overviews/tlm2-txt.html create mode 100644 distrib/docs/html/files/overviews/tlm_ifs_and_ports-txt.html create mode 100644 distrib/docs/html/files/reg/sequences/uvm_mem_access_seq-svh.html create mode 100644 distrib/docs/html/files/reg/sequences/uvm_mem_walk_seq-svh.html create mode 100644 distrib/docs/html/files/reg/sequences/uvm_reg_access_seq-svh.html create mode 100644 distrib/docs/html/files/reg/sequences/uvm_reg_bit_bash_seq-svh.html create mode 100644 distrib/docs/html/files/reg/sequences/uvm_reg_hw_reset_seq-svh.html create mode 100644 distrib/docs/html/files/reg/sequences/uvm_reg_mem_built_in_seq-svh.html create mode 100644 distrib/docs/html/files/reg/sequences/uvm_reg_mem_hdl_paths_seq-svh.html create mode 100644 distrib/docs/html/files/reg/sequences/uvm_reg_mem_shared_access_seq-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_mem-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_mem_mam-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_adapter-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_backdoor-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_block-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_cbs-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_field-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_fifo-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_file-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_indirect-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_item-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_map-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_model-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_predictor-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_reg_sequence-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_vreg-svh.html create mode 100644 distrib/docs/html/files/reg/uvm_vreg_field-svh.html create mode 100644 distrib/docs/html/files/seq/uvm_push_sequencer-svh.html create mode 100644 distrib/docs/html/files/seq/uvm_sequence-svh.html create mode 100644 distrib/docs/html/files/seq/uvm_sequence_base-svh.html create mode 100644 distrib/docs/html/files/seq/uvm_sequence_item-svh.html create mode 100644 distrib/docs/html/files/seq/uvm_sequence_library-svh.html create mode 100644 distrib/docs/html/files/seq/uvm_sequencer-svh.html create mode 100644 distrib/docs/html/files/seq/uvm_sequencer_base-svh.html create mode 100644 distrib/docs/html/files/seq/uvm_sequencer_param_base-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_analysis_port-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_exports-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_imps-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_ports-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_sqr_connections-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_sqr_ifs-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_tlm_fifo_base-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_tlm_fifos-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_tlm_ifs-svh.html create mode 100644 distrib/docs/html/files/tlm1/uvm_tlm_req_rsp-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_defines-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_exports-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_generic_payload-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_ifs-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_imps-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_ports-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_sockets-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_sockets_base-svh.html create mode 100644 distrib/docs/html/files/tlm2/uvm_tlm2_time-svh.html copy {natural_docs => distrib/docs/html}/images/bg_column_green.gif (100%) copy {natural_docs => distrib/docs/html}/images/bg_column_green_grey.gif (100%) copy {natural_docs => distrib/docs/html}/images/bg_feature.jpg (100%) copy {natural_docs => distrib/docs/html}/images/bg_h3_roundcorners.gif (100%) copy {natural_docs => distrib/docs/html}/images/bg_main.gif (100%) copy {natural_docs => distrib/docs/html}/images/bg_masthead.jpg (100%) copy {natural_docs => distrib/docs/html}/images/bg_navbar.gif (100%) copy {natural_docs => distrib/docs/html}/images/bg_roundcorners2.gif (100%) copy {natural_docs => distrib/docs/html}/images/bg_tableheader.gif (100%) copy {natural_docs => distrib/docs/html}/images/bg_thick_grey_bar.gif (100%) copy {natural_docs => distrib/docs/html}/images/bullet_GreenOnGrey.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_base.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_comparators.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_components.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_factory.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_phases_uml.gif (100%) mode change 100755 => 100644 copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_recording.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_reg_class_map.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_reporting.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_root.gif (100%) mode change 100755 => 100644 copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_seq_item_ports.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_sequence.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_sequencer.gif (100%) mode change 100755 => 100644 copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_sync.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_tlm_analysis_if.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_tlm_bidir_ports.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_tlm_get_peek_ifs.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_tlm_hierarchy.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_tlm_master_slave_ifs.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_tlm_put_ifs.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_tlm_transport_ifs.gif (100%) copy {uvm_ref/nd/Images => distrib/docs/html/images}/uvm_ref_tlm_uni_ports.gif (100%) create mode 100644 distrib/docs/html/index.html create mode 100644 distrib/docs/html/index/Classes.html create mode 100644 distrib/docs/html/index/Constants.html create mode 100644 distrib/docs/html/index/Files.html create mode 100644 distrib/docs/html/index/General.html create mode 100644 distrib/docs/html/index/General10.html create mode 100644 distrib/docs/html/index/General11.html create mode 100644 distrib/docs/html/index/General12.html create mode 100644 distrib/docs/html/index/General2.html create mode 100644 distrib/docs/html/index/General3.html create mode 100644 distrib/docs/html/index/General4.html create mode 100644 distrib/docs/html/index/General5.html create mode 100644 distrib/docs/html/index/General6.html create mode 100644 distrib/docs/html/index/General7.html create mode 100644 distrib/docs/html/index/General8.html create mode 100644 distrib/docs/html/index/General9.html create mode 100644 distrib/docs/html/index/Macros.html create mode 100644 distrib/docs/html/index/Methods.html create mode 100644 distrib/docs/html/index/Methods2.html create mode 100644 distrib/docs/html/index/Methods3.html create mode 100644 distrib/docs/html/index/Methods4.html create mode 100644 distrib/docs/html/index/Methods5.html create mode 100644 distrib/docs/html/index/Methods6.html create mode 100644 distrib/docs/html/index/Methods7.html create mode 100644 distrib/docs/html/index/Ports.html create mode 100644 distrib/docs/html/index/Types.html create mode 100644 distrib/docs/html/index/Variables.html copy natural_docs/JavaScript/NaturalDocs.js => distrib/docs/html/javascript/main.js (100%) create mode 100644 distrib/docs/html/javascript/searchdata.js copy {natural_docs => distrib/docs/html}/logo.html (100%) create mode 100644 distrib/docs/html/menu.html create mode 100644 distrib/docs/html/search/ClassesP.html create mode 100644 distrib/docs/html/search/ClassesU.html create mode 100644 distrib/docs/html/search/ConstantsB.html create mode 100644 distrib/docs/html/search/ConstantsE.html create mode 100644 distrib/docs/html/search/ConstantsU.html create mode 100644 distrib/docs/html/search/FilesA.html create mode 100644 distrib/docs/html/search/FilesM.html create mode 100644 distrib/docs/html/search/FilesR.html create mode 100644 distrib/docs/html/search/FilesT.html create mode 100644 distrib/docs/html/search/FilesU.html create mode 100644 distrib/docs/html/search/GeneralA.html create mode 100644 distrib/docs/html/search/GeneralB.html create mode 100644 distrib/docs/html/search/GeneralC.html create mode 100644 distrib/docs/html/search/GeneralD.html create mode 100644 distrib/docs/html/search/GeneralE.html create mode 100644 distrib/docs/html/search/GeneralF.html create mode 100644 distrib/docs/html/search/GeneralG.html create mode 100644 distrib/docs/html/search/GeneralH.html create mode 100644 distrib/docs/html/search/GeneralI.html create mode 100644 distrib/docs/html/search/GeneralJ.html create mode 100644 distrib/docs/html/search/GeneralK.html create mode 100644 distrib/docs/html/search/GeneralL.html create mode 100644 distrib/docs/html/search/GeneralM.html create mode 100644 distrib/docs/html/search/GeneralN.html create mode 100644 distrib/docs/html/search/GeneralNumbers.html create mode 100644 distrib/docs/html/search/GeneralO.html create mode 100644 distrib/docs/html/search/GeneralP.html create mode 100644 distrib/docs/html/search/GeneralQ.html create mode 100644 distrib/docs/html/search/GeneralR.html create mode 100644 distrib/docs/html/search/GeneralS.html create mode 100644 distrib/docs/html/search/GeneralSymbols.html create mode 100644 distrib/docs/html/search/GeneralT.html create mode 100644 distrib/docs/html/search/GeneralU.html create mode 100644 distrib/docs/html/search/GeneralV.html create mode 100644 distrib/docs/html/search/GeneralW.html create mode 100644 distrib/docs/html/search/MacrosSymbols.html create mode 100644 distrib/docs/html/search/MacrosU.html create mode 100644 distrib/docs/html/search/MethodsA.html create mode 100644 distrib/docs/html/search/MethodsB.html create mode 100644 distrib/docs/html/search/MethodsC.html create mode 100644 distrib/docs/html/search/MethodsD.html create mode 100644 distrib/docs/html/search/MethodsE.html create mode 100644 distrib/docs/html/search/MethodsF.html create mode 100644 distrib/docs/html/search/MethodsG.html create mode 100644 distrib/docs/html/search/MethodsH.html create mode 100644 distrib/docs/html/search/MethodsI.html create mode 100644 distrib/docs/html/search/MethodsJ.html create mode 100644 distrib/docs/html/search/MethodsK.html create mode 100644 distrib/docs/html/search/MethodsL.html create mode 100644 distrib/docs/html/search/MethodsM.html create mode 100644 distrib/docs/html/search/MethodsN.html create mode 100644 distrib/docs/html/search/MethodsO.html create mode 100644 distrib/docs/html/search/MethodsP.html create mode 100644 distrib/docs/html/search/MethodsR.html create mode 100644 distrib/docs/html/search/MethodsS.html create mode 100644 distrib/docs/html/search/MethodsT.html create mode 100644 distrib/docs/html/search/MethodsU.html create mode 100644 distrib/docs/html/search/MethodsV.html create mode 100644 distrib/docs/html/search/MethodsW.html create mode 100644 distrib/docs/html/search/NoResults.html create mode 100644 distrib/docs/html/search/PortsA.html create mode 100644 distrib/docs/html/search/PortsB.html create mode 100644 distrib/docs/html/search/PortsG.html create mode 100644 distrib/docs/html/search/PortsM.html create mode 100644 distrib/docs/html/search/PortsP.html create mode 100644 distrib/docs/html/search/PortsR.html create mode 100644 distrib/docs/html/search/PortsS.html create mode 100644 distrib/docs/html/search/PortsT.html create mode 100644 distrib/docs/html/search/TypesA.html create mode 100644 distrib/docs/html/search/TypesL.html create mode 100644 distrib/docs/html/search/TypesU.html create mode 100644 distrib/docs/html/search/VariablesA.html create mode 100644 distrib/docs/html/search/VariablesB.html create mode 100644 distrib/docs/html/search/VariablesC.html create mode 100644 distrib/docs/html/search/VariablesD.html create mode 100644 distrib/docs/html/search/VariablesE.html create mode 100644 distrib/docs/html/search/VariablesF.html create mode 100644 distrib/docs/html/search/VariablesH.html create mode 100644 distrib/docs/html/search/VariablesI.html create mode 100644 distrib/docs/html/search/VariablesK.html create mode 100644 distrib/docs/html/search/VariablesL.html create mode 100644 distrib/docs/html/search/VariablesM.html create mode 100644 distrib/docs/html/search/VariablesN.html create mode 100644 distrib/docs/html/search/VariablesO.html create mode 100644 distrib/docs/html/search/VariablesP.html create mode 100644 distrib/docs/html/search/VariablesR.html create mode 100644 distrib/docs/html/search/VariablesS.html create mode 100644 distrib/docs/html/search/VariablesSymbols.html create mode 100644 distrib/docs/html/search/VariablesT.html create mode 100644 distrib/docs/html/search/VariablesU.html create mode 100644 distrib/docs/html/search/VariablesV.html copy distrib/{ => docs/html}/src/base/uvm_barrier.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_base.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_bottomup_phase.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_callback.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_cmdline_processor.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_common_phases.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_comparer.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_component.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_config_db.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_coreservice.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_domain.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_event.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_event_callback.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_factory.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_globals.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_heartbeat.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_links.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_misc.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_object.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_object_globals.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_objection.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_packer.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_phase.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_pool.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_port_base.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_printer.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_queue.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_recorder.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_registry.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_report_catcher.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_report_handler.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_report_message.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_report_object.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_report_server.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_resource.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_resource_db.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_resource_specializations.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_root.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_runtime_phases.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_spell_chkr.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_task_phase.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_topdown_phase.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_tr_database.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_tr_stream.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_transaction.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_traversal.svh (100%) copy distrib/{ => docs/html}/src/base/uvm_version.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_agent.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_algorithmic_comparator.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_comps.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_driver.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_env.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_in_order_comparator.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_monitor.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_pair.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_policies.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_push_driver.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_random_stimulus.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_scoreboard.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_subscriber.svh (100%) copy distrib/{ => docs/html}/src/comps/uvm_test.svh (100%) copy distrib/{ => docs/html}/src/dap/uvm_dap.svh (100%) copy distrib/{ => docs/html}/src/dap/uvm_get_to_lock_dap.svh (100%) copy distrib/{ => docs/html}/src/dap/uvm_set_before_get_dap.svh (100%) copy distrib/{ => docs/html}/src/dap/uvm_set_get_dap_base.svh (100%) copy distrib/{ => docs/html}/src/dap/uvm_simple_lock_dap.svh (100%) copy distrib/{ => docs/html}/src/deprecated/readme.important (100%) copy distrib/{ => docs/html}/src/deprecated/uvm_resource_converter.svh (100%) copy distrib/{ => docs/html}/src/dpi/uvm_common.c (100%) copy distrib/{ => docs/html}/src/dpi/uvm_dpi.cc (100%) copy distrib/{ => docs/html}/src/dpi/uvm_dpi.h (100%) copy distrib/{ => docs/html}/src/dpi/uvm_dpi.svh (100%) copy distrib/{ => docs/html}/src/dpi/uvm_hdl.c (100%) copy distrib/{ => docs/html}/src/dpi/uvm_hdl.svh (100%) create mode 100644 distrib/docs/html/src/dpi/uvm_hdl_inca.c copy distrib/{ => docs/html}/src/dpi/uvm_hdl_questa.c (100%) copy distrib/{ => docs/html}/src/dpi/uvm_hdl_vcs.c (100%) copy distrib/{ => docs/html}/src/dpi/uvm_regex.cc (100%) copy distrib/{ => docs/html}/src/dpi/uvm_regex.svh (100%) copy distrib/{ => docs/html}/src/dpi/uvm_svcmd_dpi.c (100%) copy distrib/{ => docs/html}/src/dpi/uvm_svcmd_dpi.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_callback_defines.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_deprecated_defines.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_message_defines.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_object_defines.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_phase_defines.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_printer_defines.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_reg_defines.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_sequence_defines.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_tlm_defines.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_undefineall.svh (100%) copy distrib/{ => docs/html}/src/macros/uvm_version_defines.svh (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/base.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/cmdlineproc.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/comparators.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/components.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/config_and_res.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/containers.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/dap.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/factory.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/intro.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/legal.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/phasing.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/policies.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/recording.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/registers.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/relnotes.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/reporting.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/sequencers.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/sequences.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/synchro.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/test-phasing.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/tlm1.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/tlm2.txt (100%) copy {uvm_ref => distrib/docs/html/src/overviews}/tlm_ifs_and_ports.txt (100%) copy distrib/{ => docs/html}/src/reg/sequences/uvm_mem_access_seq.svh (100%) copy distrib/{ => docs/html}/src/reg/sequences/uvm_mem_walk_seq.svh (100%) copy distrib/{ => docs/html}/src/reg/sequences/uvm_reg_access_seq.svh (100%) copy distrib/{ => docs/html}/src/reg/sequences/uvm_reg_bit_bash_seq.svh (100%) copy distrib/{ => docs/html}/src/reg/sequences/uvm_reg_hw... [truncated message content] |