Menu

#499 Format /beautifier of special code in systemverilog is wrong

v1.0_(example)
closed-fixed
nobody
None
5
2017-06-29
2017-06-29
No

Dear SVE editor,

I have notice that your format and beautifier tool in SVEditor doesn´t support the advance coding style of "interface classes". Could you correct that?. Interface classes are a special interface that can be used to define methods apart of the methods inside one extend class. That is, it is like a multiple extension from many classes with the restriction that the "implements" only imports methods.

The following code showed in the picture is not formated correctly.

interface class test_class_interface;    
    // provide prototype for signal change so that pve_sequencer can call it
    pure virtual task method_to_be_added(uvm_component sender, uvm_object data_container);
    endclass    

        class pve_predictor#(type SEQHTYPE = pve_virtual_sequencer) extends uvm_component implements test_class_interface;
        //blablabla
        endclass

Could you correct this? Thanks.

1 Attachments

Discussion

  • StevenAZ

    StevenAZ - 2017-06-29
    • status: open --> closed-fixed
     
  • StevenAZ

    StevenAZ - 2017-06-29

    Fixed in 2.0.1

    Thanks for the testcase.

     
  • StevenAZ

    StevenAZ - 2017-06-29

    Going to copy the example from this report. The parser does not correctly parse the "implements" keyword at this point in time.

     

Log in to post a comment.