You can subscribe to this list here.
2006 |
Jan
|
Feb
|
Mar
|
Apr
|
May
|
Jun
|
Jul
|
Aug
|
Sep
|
Oct
(1) |
Nov
|
Dec
(3) |
---|---|---|---|---|---|---|---|---|---|---|---|---|
2007 |
Jan
(2) |
Feb
|
Mar
(2) |
Apr
|
May
|
Jun
|
Jul
|
Aug
|
Sep
|
Oct
(3) |
Nov
|
Dec
|
2009 |
Jan
|
Feb
|
Mar
(4) |
Apr
(7) |
May
|
Jun
|
Jul
|
Aug
|
Sep
|
Oct
|
Nov
|
Dec
|
From: Guenter B. <gue...@go...> - 2009-04-05 11:28:37
|
hi stefan, >> is the new code going to be open sourced as well? > > we will release the basic datastructures and functionalities as time > permits. great - looking forward to see what has been done :) >>> Otherwise, I simply put a note on the iti page. >> >> i think we should do that in any case, maybe also redirect to sf.net? > > ok - I'll do that after my vacations in 2 weeks. thanks - and have a nice vacation best regards, guenter |
From: Stefan H. <ma...@s-...> - 2009-04-04 19:15:36
|
Am 02.04.2009 um 01:41 schrieb Guenter Bartsch: > hi stefan, > >> we still use signs for some netlist processing but development has >> moved >> away towards dft, test and diagnosis. >> These are now seperate, interdependent projects. No one is currently >> maintaining the synthesis code because we don't need it. > > glad to hear there is at least some work going on on signs :) > > is the new code going to be open sourced as well? we will release the basic datastructures and functionalities as time permits. personally, I wrote the last line of java code about half a year ago... :-/ > >>>> Guenter, shall we post a note on the signs homepage about the >>>> current >>>> state >>>> of code and support? >>> >>> yes, that sounds like a good idea. i think i don't have access to >>> the >>> new signs home on the iti machines, i can only update the >>> sourceforge >>> site. >> >> Maybe we should move the "new" signs home over to sf.net to have >> everything >> in one place. What do you think? > > definitely a good idea > >> Otherwise, I simply put a note on the iti page. > > i think we should do that in any case, maybe also redirect to sf.net? ok - I'll do that after my vacations in 2 weeks. best regards Stefan > > > thanks, > > guenter |
From: Guenter B. <gue...@go...> - 2009-04-01 23:41:59
|
hi stefan, > we still use signs for some netlist processing but development has moved > away towards dft, test and diagnosis. > These are now seperate, interdependent projects. No one is currently > maintaining the synthesis code because we don't need it. glad to hear there is at least some work going on on signs :) is the new code going to be open sourced as well? >>> Guenter, shall we post a note on the signs homepage about the current >>> state >>> of code and support? >> >> yes, that sounds like a good idea. i think i don't have access to the >> new signs home on the iti machines, i can only update the sourceforge >> site. > > Maybe we should move the "new" signs home over to sf.net to have everything > in one place. What do you think? definitely a good idea > Otherwise, I simply put a note on the iti page. i think we should do that in any case, maybe also redirect to sf.net? thanks, guenter |
From: Stefan H. <ma...@s-...> - 2009-04-01 20:35:17
|
hi guenter, Am 01.04.2009 um 10:26 schrieb Guenter Bartsch: > hi stefan, > > On Tue, Mar 31, 2009 at 11:28 PM, Stefan Holst <ma...@s-...> > wrote: >> Jepp, >> >> the project seems abandoned. >> At least I don't actively develop or maintain the signs codebase >> anymore. > > sad to hear all that. the signs homepage still mentions ITI as a > sponsor/contributor - is anyone else at your department still working > on signs? we still use signs for some netlist processing but development has moved away towards dft, test and diagnosis. These are now seperate, interdependent projects. No one is currently maintaining the synthesis code because we don't need it. > > >> Guenter, shall we post a note on the signs homepage about the >> current state >> of code and support? > > yes, that sounds like a good idea. i think i don't have access to the > new signs home on the iti machines, i can only update the sourceforge > site. Maybe we should move the "new" signs home over to sf.net to have everything in one place. What do you think? Otherwise, I simply put a note on the iti page. Best regards Stefan |
From: Tobias P. <tob...@we...> - 2009-04-01 14:51:31
|
Hi, sorry my last post wasn't clear enough. I didn't fix signs (don't know much about java programming), I had to rewrite my vhdl code. My intention was to replace the vhdl entry of the lip6 alliance toolset by something better. I started with Xilinx ISE, compiled the code with signs, optimized with berkeley tools, and then P&R with alliance. Very complicated, but it worked. The hardest part was to write vhdl code that worked with xilinx (for fpga) and also with signs (for asic). With regards, Tobias Von: "Guenter Bartsch" <gue...@go...> hi tobias, On Tue, Mar 31, 2009 at 4:15 PM, Tobias Pampel <tob...@we...> wrote: > Hello, > I did some projects with signs 2 years ago. > I was also not successful in importing any other lib to signs. > Even if you manage to import further libs signs will have syntax errors because many libs contain functions which does not support. > I had some more syntax problems, so I nearly had to rewrite the whole code to make it compatible. sad to hear that. out of curiosity: what was it you were trying to use signs for? any chance you could provide code or patches for the problems you had to fix back then? best regards, guenter _______________________________________________________________________ DSL zum Nulltarif + 20 Euro Extraprämie bei Online-Bestellung über die DSL Freundschaftswerbung! http://dsl.web.de/?ac=OM.AD.AD008K15279B7069a |
From: Guenter B. <gue...@go...> - 2009-04-01 08:46:46
|
hi tobias, On Tue, Mar 31, 2009 at 4:15 PM, Tobias Pampel <tob...@we...> wrote: > Hello, > I did some projects with signs 2 years ago. > I was also not successful in importing any other lib to signs. > Even if you manage to import further libs signs will have syntax errors because many libs contain functions which does not support. > I had some more syntax problems, so I nearly had to rewrite the whole code to make it compatible. sad to hear that. out of curiosity: what was it you were trying to use signs for? any chance you could provide code or patches for the problems you had to fix back then? best regards, guenter |
From: Guenter B. <gue...@go...> - 2009-04-01 08:26:13
|
hi stefan, On Tue, Mar 31, 2009 at 11:28 PM, Stefan Holst <ma...@s-...> wrote: > Jepp, > > the project seems abandoned. > At least I don't actively develop or maintain the signs codebase anymore. sad to hear all that. the signs homepage still mentions ITI as a sponsor/contributor - is anyone else at your department still working on signs? > Guenter, shall we post a note on the signs homepage about the current state > of code and support? yes, that sounds like a good idea. i think i don't have access to the new signs home on the iti machines, i can only update the sourceforge site. best regards, guenter |
From: Stefan H. <ma...@s-...> - 2009-03-31 21:41:44
|
Jepp, the project seems abandoned. At least I don't actively develop or maintain the signs codebase anymore. Guenter, shall we post a note on the signs homepage about the current state of code and support? Best regards Stefan Holst Am 31.03.2009 um 16:15 schrieb Tobias Pampel: > Hello, > I did some projects with signs 2 years ago. > I was also not successful in importing any other lib to signs. > Even if you manage to import further libs signs will have syntax > errors because many libs contain functions which does not support. > I had some more syntax problems, so I nearly had to rewrite the > whole code to make it compatible. > This project seems to be dead and incomplete. > > Tobias > > > > > > > __________________________________________________________________________ > Verschicken Sie SMS direkt vom Postfach aus - in alle deutschen und > viele > ausländische Netze zum gleichen Preis! > https://produkte.web.de/webde_sms/sms > > > > > ------------------------------------------------------------------------------ > _______________________________________________ > Signs-user mailing list > Sig...@li... > https://lists.sourceforge.net/lists/listinfo/signs-user |
From: Nick R. <ni...@sc...> - 2009-03-31 14:22:24
|
Hi, Vielen Dank. Das ist, was ich dachte. -Nicholas Tobias Pampel wrote: > Hello, > I did some projects with signs 2 years ago. > I was also not successful in importing any other lib to signs. > Even if you manage to import further libs signs will have syntax errors because many libs contain functions which does not support. > I had some more syntax problems, so I nearly had to rewrite the whole code to make it compatible. > This project seems to be dead and incomplete. > > Tobias > > > > > > > __________________________________________________________________________ > Verschicken Sie SMS direkt vom Postfach aus - in alle deutschen und viele > ausländische Netze zum gleichen Preis! > https://produkte.web.de/webde_sms/sms > > > > |
From: Tobias P. <tob...@we...> - 2009-03-31 14:15:52
|
Hello, I did some projects with signs 2 years ago. I was also not successful in importing any other lib to signs. Even if you manage to import further libs signs will have syntax errors because many libs contain functions which does not support. I had some more syntax problems, so I nearly had to rewrite the whole code to make it compatible. This project seems to be dead and incomplete. Tobias __________________________________________________________________________ Verschicken Sie SMS direkt vom Postfach aus - in alle deutschen und viele ausländische Netze zum gleichen Preis! https://produkte.web.de/webde_sms/sms |
From: Nick R. <ni...@sc...> - 2009-03-26 14:11:26
|
Hi, I am try to run signs on some projects for opencores.org. Whenever I run anything more complicate the the signs examples I get "Library <which ever library is included> not found" I have tried adding the the files to the lib/ directory in the plugin jar, I have tried adding the files to the sources and recompiling. No luck. If there is anyone still reading this list any thoughts would be helpful. -Nick |
From: Stefan H. <Ho...@in...> - 2007-10-08 08:14:21
|
On Mon, 2007-10-08 at 08:23 +0200, Tobias Pampel wrote: > Signs uses a flip flop with set and reset (FD3) when creating the netlist. It seems to be impossible to export this flip flop to blif. From the blif-file I got this error message: > # ERROR: cannot dump /FIFO_GENERIC(BEHAVIORAL)/FD3_7@1c1de1c > > Can anyone tell me whats wrong here? BLIF export is _very_ incomplete as you can see in net/sf/signs/blif/BLIFDump.java. Primitive gates can be dumped and there is some partial support for Mux2 and FD1. Since our development resources (i.e. time) is very limited and BLIF is currently not needed by us, the development of this format has stalled. If you need more features, you are kindly invited to send us patches. Best regards Stefan Holst -- Institut fuer Technische Informatik (ITI) Universitaet Stuttgart Pfaffenwaldring 47 70569 Stuttgart Tel: +49 711 7816 279 Fax: +49 711 7816 288 |
From: Tobias P. <tob...@we...> - 2007-10-08 06:24:02
|
Hi I've experienced a problem with the blif export of signs 0.63 (on Suse Linux). I tried to synthesize this fifo: library ieee; use ieee.std_logic_1164.all; entity fifo_generic is generic ( Width : Integer := 4; Depth : Integer := 4); port ( Clk : in std_logic; Clr : in std_logic; D : in std_logic_vector (Width-1 downto 0); Q : out std_logic_vector (Width-1 downto 0)); end fifo_generic; architecture behavioral of fifo_generic is signal current_d : std_logic_vector ((Depth+1)*(Width)-1 downto 0); begin -- behavioral current_d (Width-1 downto 0) <= D; Q <= current_d ((Depth+1)*(Width)-1 downto Depth*Width); pipeline_loop : for i in 0 to Depth-1 generate clock_process : process (Clk, Clr) begin -- process clock_process if (Clr = '1') then current_d ((i+2)*Width-1 downto (i+1)*Width) <= (others => CLK and not CLK); --=0 elsif (Clk'event and Clk = '1') then current_d ((i+2)*Width-1 downto (i+1)*Width) <= current_d ((i+1)*Width-1 downto i*Width); end if; end process clock_process; end generate pipeline_loop; end behavioral; Signs uses a flip flop with set and reset (FD3) when creating the netlist. It seems to be impossible to export this flip flop to blif. From the blif-file I got this error message: # ERROR: cannot dump /FIFO_GENERIC(BEHAVIORAL)/FD3_7@1c1de1c Can anyone tell me whats wrong here? Thanks Tobias _____________________________________________________________________ Der WEB.DE SmartSurfer hilft bis zu 70% Ihrer Onlinekosten zu sparen! http://smartsurfer.web.de/?mc=100071&distributionid=000000000066 |
From: Tobias P. <tob...@we...> - 2007-10-04 13:25:56
|
Hi I've experienced a problem with the blif export of signs 0.63 (on Suse Linux). I tried to synthesize this fifo: library ieee; use ieee.std_logic_1164.all; entity fifo_generic is generic ( Width : Integer := 4; Depth : Integer := 4); port ( Clk : in std_logic; Clr : in std_logic; D : in std_logic_vector (Width-1 downto 0); Q : out std_logic_vector (Width-1 downto 0)); end fifo_generic; architecture behavioral of fifo_generic is signal current_d : std_logic_vector ((Depth+1)*(Width)-1 downto 0); begin -- behavioral current_d (Width-1 downto 0) <= D; Q <= current_d ((Depth+1)*(Width)-1 downto Depth*Width); pipeline_loop : for i in 0 to Depth-1 generate clock_process : process (Clk, Clr) begin -- process clock_process if (Clr = '1') then current_d ((i+2)*Width-1 downto (i+1)*Width) <= (others => CLK and not CLK); --=0 elsif (Clk'event and Clk = '1') then current_d ((i+2)*Width-1 downto (i+1)*Width) <= current_d ((i+1)*Width-1 downto i*Width); end if; end process clock_process; end generate pipeline_loop; end behavioral; Signs uses a flip flop with set and reset (FD3) when creating the netlist. It seems to be impossible to export this flip flop to blif. From the blif-file I got this error message: # ERROR: cannot dump /FIFO_GENERIC(BEHAVIORAL)/FD3_7@1c1de1c Can anyone tell me whats wrong here? Thanks Tobias _____________________________________________________________________ Der WEB.DE SmartSurfer hilft bis zu 70% Ihrer Onlinekosten zu sparen! http://smartsurfer.web.de/?mc=100071&distributionid=000000000066 |
From: Rio M. <car...@ya...> - 2007-03-20 12:25:06
|
Dear Cándido, --- Cándido Aramburu <ca...@un...> wrote: > Hi, > > > I just begin with SIGNS aplication and i can get the > netlist schematic > draw or netlist file. I am going to assume that this is cannot?? I would like to know if you have tried the tutorial at http://www.iti.uni-stuttgart.de/~bartscgr/signs/wiki/index.php/Tutorial (Note: We are in the process of moving this stuff to SF.) If you are stuck with the tutorial let us know in which step you were stuck. I am not sure if I have understood your description correctly, but I think that after you have done the steps you describe, just try clicking on the bee icon (abeja) and then select your toplevel. Saludos, Rio ____________________________________________________________________________________ Expecting? Get great news right away with email Auto-Check. Try the Yahoo! Mail Beta. http://advision.webevents.yahoo.com/mailbeta/newmail_tools.html |
From: A. <ca...@un...> - 2007-03-20 11:28:42
|
Hi, I just begin with SIGNS aplication and i can get the netlist schematic draw or netlist file. The project is at /worspace/uned/ directory The project have only one file primer.vhdl The S.O is Fedora 5 The compiling and netlist action results are: BUILD PROJECT Compiling file: L/PracticaPrimer/primer.vhdl Dumping library /home/candido/workspace/PracticaPrimer/WORK.lib... Dumping library /home/candido/workspace/PracticaPrimer/WORK.lib done. NET LIST ELABORATE Elaborating: Architecture ALGORITMICA of SEMISUMADOR ============================================================ Trying to read lib STD via class loader... Trying to read lib STD via class loader...worked! Trying to read lib IEEE via class loader... Trying to read lib IEEE via class loader...worked! elaborating declarations... elaborating concurrent statements... elaborating process at primer.vhdl:15 ======================================================= Synthesizing binding for signal SignalBit (id=SEMISUMADOR(ALGORITMICA).S)@812aa10 Synthesizing binding for signal SignalBit (id=SEMISUMADOR(ALGORITMICA).C_OUT)@812a9d8 elaborate finished. .............. but the netlist VIEWER is empty, background black colour.I have tried EXPORT it to a postscript file and the file is empty ( 0' bytes size) I have some configuration paremeters wrong? Can somebody help me? Thanks in advance Cándido Aramburu ca...@un... Electronics Engineering Dpt NAVARRE UNIVERSITY SPAIN |
From: Guenter B. <gue...@go...> - 2007-01-29 09:14:11
|
hallo chitta, see http://www.iti.uni-stuttgart.de/~bartscgr/signs/wiki/index.php/Installation#Eclipse_Plugin_Installation dor instructions on how to install the signs eclipse plugin using the update manager (recommended). if you want to install the jar manually, place it in the plugins directory in your eclipse installation and (re-)start eclipse. kind regards, guenter On Mon, 2007-01-29 at 03:34 +0530, Chittaranjan Mandal wrote: > Hi, > > I have downloaded Signs and the command seems to run (trivially > now). I would like to use it to get netlists from vhdl specs. > > It appears that the GUI comes via the Eclipse Plugin. > I have down loaded the plugin, which seems to be a jar file. > > There seems to be no instructions on what to do with jar file. > Could you please give me some information on how the GUI > can be made operational. > > Thanks and regards, > Chitta > |
From: Guenter B. <gue...@go...> - 2007-01-10 16:01:07
|
Hallo everyone! After months of bug-fixing and regression-testing a new signs release is available: Signs 0.6.3 http://www.iti.uni-stuttgart.de/~bartscgr/signs/download While the release focus is clearly on bugfixes, there are some feature improvements as well such as enhanced test bench support and improved netlist and simulator views. The VHDL compiler has support for subprograms now and elaboration of big designs is much faster because of improved context handling. Internally the intermediate representation layer was cleaned up, so intermediate objects form a proper tree now. Enjoy, Guenter |
From: Jonas D. <di...@gm...> - 2006-12-22 10:19:05
|
Hi, I have tried to follow the tutorial, using the supplied counter.vhdl, but I cannot view the netlist or do a simulation - both functions do not do anything. This is the log on the Signs Console: Trying to read lib WORK via class loader... Creating new library 'WORK' Failed to load WORK library => performing full rebuild of this project. Cleaning library 'WORK' Compiling file: L/ctr/counter.vhdl Dumping library /root/workspace/ctr/WORK.lib... Dumping library /root/workspace/ctr/WORK.lib done. Compiling file: L/ctr/.project File format not recognized: /root/workspace/ctr/.project Cleaning library 'WORK' Compiling file: L/ctr/counter.vhdl Dumping library /root/workspace/ctr/WORK.lib... Dumping library /root/workspace/ctr/WORK.lib done. Compiling file: L/ctr/WORK.lib File format not recognized: /root/workspace/ctr/WORK.lib Compiling file: L/ctr/.project File format not recognized: /root/workspace/ctr/.project Trying to read lib STD via class loader... Trying to read lib STD via class loader...worked! Trying to read lib IEEE via class loader... Trying to read lib IEEE via class loader...worked! elaborating declarations... elaborating concurrent statements... elaborating process XX at counter.vhdl:21 ======================================================= elaborating declarations... elaborating concurrent statements... elaborating process XX at counter.vhdl:21 ======================================================= Here are my system specs: Linux (KUbuntu) java -version java version "1.5.0_08" Java(TM) 2 Runtime Environment, Standard Edition (build 1.5.0_08-b03) Java HotSpot(TM) Server VM (build 1.5.0_08-b03, mixed mode) Eclipse 3.2.1 I hope someone can help. Regards Jonas |
From: Grace N. <GN...@co...> - 2006-12-10 18:04:45
|
Where can I find the file signs.cmd refered to in the file = compile_lib.cmd? Thanks |
From: <mat...@go...> - 2006-12-02 21:23:28
|
Hello, As far as i could read it from your mailinglist there are some problems with the netlist and/or simulation view. Same problem here. I can open the toplevel view and select it, but when i click on "OK" nothing happens. Same in the simulator. I'm running Eclipse 3.2.1 on Mac OS X 10.4.8 with Java 1.5.0. There are no error messages or something else, i've tried it with the example files from the tutorial. I would like to test a unstable build or something from the CVS tree, but i'm not expirienced with building java applications, especially under Eclipse. So maybe there is an unstable plugin anywhere to download and try... Greetings Sebastian |
From: Guenter B. <gue...@go...> - 2006-10-20 11:39:52
|
hallo bruno, > I followed the tutorial but I'm having problems to make it work. After > I built the project, and I can select the toplevel, but nothing appears in > the netlist viewer or the simulator. In the simulator, there's a message > saying "Simulator not started yet." Here's the log: [...] have you checked the problems tab in eclipse, maybe there is a syntax/semantic problem with your design? kind regards, guenter |