Update of /cvsroot/setedit/setedit/cfgfiles
In directory sfp-cvs-1.v30.ch3.sourceforge.com:/tmp/cvs-serv21103/cfgfiles
Modified Files:
syntaxhl.shl
Log Message:
* Added: [SHL] basic highlight for Verilog.
Index: syntaxhl.shl
===================================================================
RCS file: /cvsroot/setedit/setedit/cfgfiles/syntaxhl.shl,v
retrieving revision 1.100
retrieving revision 1.101
diff -C2 -d -r1.100 -r1.101
*** syntaxhl.shl 24 Apr 2015 13:41:55 -0000 1.100
--- syntaxhl.shl 1 Aug 2017 16:14:05 -0000 1.101
***************
*** 3403,3404 ****
--- 3403,3449 ----
End
+ # ---------------------------- Verilog ---------------------------------
+ #
+ # From scratch & incomplete, by SET
+ #
+ Name=Verilog
+ Files=v
+ UseInternal=0
+ Case=1
+ EOLComment1=//
+ OpenComment1=/*
+ CloseComment1=*/
+ HexMarker='h
+ Symbols1=(){}[],;
+ Symbols2=!&*+-./:<=>?^|~'
+ String1="
+ PMacros=cpmacros.pmc
+ #Preprocessor=`
+ CanStartAName=$`
+ Escape=\
+ Keywords=and,always,assign,attribute,begin,buf,bufif0,bufif1
+ Keywords=case,cmos,deassign,default,defparam,disable,else,endattribute
+ Keywords=end,endcase,endfunction,endprimitive,endmodule,endtable,endtask,event
+ Keywords=for,force,forever,fork,function,highz0,highz1,if
+ Keywords=initial,inout,input,integer,join,large,medium,module
+ Keywords=nand,negedge,nor,not,notif0,notif1,nmos,or
+ Keywords=output,parameter,pmos,posedge,primitive,pulldown,pullup,pull0
+ Keywords=pull1,rcmos,reg,release,repeat,rnmos,rpmos,rtran
+ Keywords=rtranif0,rtranif1,scalared,small,specify,specparam,strong0,strong1
+ Keywords=supply0,supply1,table,task,tran,tranif0,tranif1,time
+ Keywords=tri,triand,trior,trireg,tri0,tri1,vectored,wait
+ Keywords=localparam,generate,endgenerate
+ Keywords=wand,weak0,weak1,while,wire,wor
+ Keywords=$display,$write,$fdisplay,$fwrite,$strobe,$fstrobe,$monitor,$fmonitor
+ Keywords=$time,$realtime,$finish,$stop,$setup,$hold,$width,$setuphold
+ Keywords=$readmemb,$readmemh,$sreadmemb,$sreadmemh,$getpattern,$history
+ Keywords=$save,$restart,$incsave,$scale,$scope,$showscopes,$showvars
+ Keywords=$ln,$log10,$clog2
+ Keywords=genvar,`include,`define,`undef,`ifdef,`elsif,`else,`endif,`ifndef
+ Keywords=`timescale,`celldefine,`endcelldefine,`default_nettype,`resetall
+ Keywords=`line,`unconnected_drive,`unconnected_drive,`nounconnected_drive
+ Keywords=`default_decay_time,`default_trireg_strength,`delay_mode_distributed
+ Keywords=`delay_mode_path,`delay_mode_unit,`delay_mode_zero,`if
+ End
+
+
|