Menu

Home

Anonymous Brian Drummond Tristan Gingold

The ghdl-update project.

[Installing ghdl].

[Using ghdl]

[Roadmap]

About the ghdl_update project.

GHDL is the leading open source VHDL simulator. However it has had little development in recent years and is difficult to build with newer versions of gcc. This project aims to keep it up to date with gcc - initially gcc4.8, then newer gcc releases as they come along. Also to allow fixes and enhancements to be made. The original ghdl website at http://home.gna.org/ghdl/ remains in operation but may lag behind this project.

ghdl is offered in two versions :

The mcode version offers quick compilation, handling of large files such as gate level netlists, and easy installation on systems where gcc is difficult to install. It is limited to 32-bit Intel x86 architecture processors, and execution speed of the resulting design is slightly slower. On one direct comparison, the mcode simulation ran 20% slower than the gcc version.
(TODO: quantify speed difference more thoroughly).

The gcc version is built into the gcc compiler, adding VHDL to the many languages supported by gcc the Gnu Compiler Collection, and allows ghdl to be used on a wider range of architectures including 64-bit systems. As gcc is an optimising compiler, the resulting executable offers higher performance, but compilation can be slower and the gcc compiler optimisation passes can choke on sufficiently large designs.

[GHDL Developer Page]

Project Members:


Related

Wiki: Installing ghdl
Wiki: Roadmap
Wiki: Using ghdl