Menu

#94 component statement fails to bind entity from library

1.0
closed
None
2015-09-26
2015-09-23
No

It appears that an entity which lives outside the current "work" library can not be pulled in with a "component" statement. This is the case even if the entity location is explicitly declared with a "use" statement.

I'm not sure whether this is correct behaviour according to the standard. All I know is that older versions of GHDL used to find such entities just fine. The behaviour changed with mercurial changeset d6ba6551b88c about a year ago.

I'm attaching a small testcase with a build.sh script to show the intended invocation of GHDL.

Older versions of GHDL do not print a warning and pass the testbench.
Current GHDL reports a compile-time warning
tb.vhd:29:5:warning: 'ainst' is not bound
and the testbench fails at runtime.

3 Attachments

Discussion

  • Tristan Gingold

    Tristan Gingold - 2015-09-24

    Joris,

    you are absolutly right: this is indeed a regression and it has appeared since that changeset.
    I will commit a fix soon.

    Thanks,
    Tristan.

     
  • Tristan Gingold

    Tristan Gingold - 2015-09-26

    Now fixed.

    Thank you for the report.
    Tristan.

     
  • Tristan Gingold

    Tristan Gingold - 2015-09-26
    • status: open --> closed
    • assigned_to: Tristan Gingold
     

Log in to post a comment.