Menu

#73 Constraint error with parameter aggregate expression in procedure call.

1.0
closed
bug (29)
2015-05-19
2015-05-19
No

bug.vhd:

entity ent is
end entity;

architecture a of ent is
  procedure proc(s : string) is
  begin
    report integer'image(s'left);
    report integer'image(s'right);
    report s;
  end procedure;
begin
  main : process
  begin
    proc(s(4 to 15) => "Hello world!");
    wait;
  end process;
end architecture;

command line output:

ghdl -a bug.vhd && ghdl -e ent && ghdl -r ent

******************** GHDL Bug occured ****************************
Please report this bug on http://gna.org/projects/ghdl
GHDL release: GHDL 0.33dev (20141104) [Dunoon edition]
Compiled with GNAT Version: 4.8
In directory: /home/kraigher/repo/ghdl_bugs/
Command line:
/opt/ghdl/bin/ghdl1-llvm -P/opt/ghdl/lib/ghdl/v93/std/ -P/opt/ghdl/lib/ghdl/v93/ieee/ -c -o bug.o bug.vhd
Exception CONSTRAINT_ERROR raised
Exception information:
Exception name: CONSTRAINT_ERROR
Message: trans-chap8.adb:1796 access check failed
Call stack traceback locations:
0x571f44 0x577bcc 0x577c37 0x55cd39 0x56173a 0x5a6d7f 0x54f03a 0x5abda1 0x476f6a 0x432fe2 0x7f253bfe8ec3 0x432430 0xfffffffffffffffe
******************************************************************
ghdl: compilation error

ModelSim will hande this and print:

# ** Note: 4
#    Time: 0 ps  Iteration: 0  Instance: /ent
# ** Note: 15
#    Time: 0 ps  Iteration: 0  Instance: /ent
# ** Note: Hello world!
#    Time: 0 ps  Iteration: 0  Instance: /ent

Discussion

  • Tristan Gingold

    Tristan Gingold - 2015-05-19
    • status: open --> closed
    • assigned_to: Tristan Gingold
     
  • Tristan Gingold

    Tristan Gingold - 2015-05-19

    Fixed.

    Thanks,
    Tristan.

     

Log in to post a comment.