Menu

#55 Alias of procedure and function with same name cause ASSERT_FAILURE

1.0
closed
bug (29)
2015-05-12
2015-05-12
No

bug.vhd:

package pkg is
  function identifier return integer;
  procedure identifier;
  alias identifier_alias_fun is identifier[return integer];
  alias identifier_alias_proc is identifier[];
end package;

command line output:

ghdl -a bug.vhd 

******************** GHDL Bug occured ****************************
Please report this bug on http://gna.org/projects/ghdl
GHDL release: GHDL 0.33dev (20141104) [Dunoon edition]
Compiled with GNAT Version: 4.8
In directory: /home/kraigher/repo/ghdl_bugs/com/
Command line:
/opt/ghdl/bin/ghdl1-llvm -P/opt/ghdl/lib/ghdl/v93/std/ -P/opt/ghdl/lib/ghdl/v93/ieee/ -c -o bug.o bug.vhd
Exception SYSTEM.ASSERTIONS.ASSERT_FAILURE raised
Exception information:
Exception name: SYSTEM.ASSERTIONS.ASSERT_FAILURE
Message: iirs.adb:1751
Call stack traceback locations:
0x7f8bc097e901 0x458d7e 0x5e3ebb 0x5e4153 0x5e4d70 0x5e5d61 0x5f4fd0 0x5f646b 0x559588 0x5aacf3 0x476819 0x432e75 0x7f8bc0199ec3 0x432300 0xfffffffffffffffe
******************************************************************
ghdl: compilation error

Discussion

  • Tristan Gingold

    Tristan Gingold - 2015-05-12
    • status: open --> closed
    • assigned_to: Tristan Gingold
     
  • Tristan Gingold

    Tristan Gingold - 2015-05-12

    Now fixed.

    Thanks for the test case!

    Tristan.

     

Log in to post a comment.