bug.vhd:
entity ent is end entity; architecture a of ent is begin main : process begin report "Hello World"; wait; end process; end architecture;
Command line output:
> ghdl -a bug.vhd && ghdl --elab-run -o output/ent ent bug.vhd:8:5:@0ms:(report note): Hello World > ghdl -a bug.vhd && ghdl --elab-run -o `pwd`/output/ent ent ghdl: compilation error
Also implemented.
How did you find it ? :-)
Thanks!
Tristan.
In VUnit we convert most paths to absolute paths internally such as the output folder of the generated GHDL executable. I worked around it by generating a relative path until you fixed this.