Menu

#51 Absolute path to -o flag of --elab-run command cause compilation error.

1.0
closed
bug: (1)
2015-05-11
2015-05-11
No

bug.vhd:

entity ent is
end entity;

architecture a of ent is
begin
  main : process
  begin
    report "Hello World";
    wait;
  end process;
end architecture;

Command line output:

> ghdl -a bug.vhd && ghdl --elab-run -o output/ent ent
bug.vhd:8:5:@0ms:(report note): Hello World

> ghdl -a bug.vhd && ghdl --elab-run -o `pwd`/output/ent ent
ghdl: compilation error

Discussion

  • Tristan Gingold

    Tristan Gingold - 2015-05-11
    • status: open --> closed
    • assigned_to: Tristan Gingold
     
  • Tristan Gingold

    Tristan Gingold - 2015-05-11

    Also implemented.
    How did you find it ? :-)

    Thanks!
    Tristan.

     
  • Olof Kraigher

    Olof Kraigher - 2015-05-11

    In VUnit we convert most paths to absolute paths internally such as the output folder of the generated GHDL executable. I worked around it by generating a relative path until you fixed this.

     

Log in to post a comment.