• Simplify Purchasing For Your Business Icon
    Simplify Purchasing For Your Business

    Manage what you buy and how you buy it with Order.co, so you have control over your time and money spent.

    Simplify every aspect of buying for your business in Order.co. From sourcing products to scaling purchasing across locations to automating your AP and approvals workstreams, Order.co is the platform of choice for growing businesses.
    Learn More
  • All-in-One Payroll and HR Platform Icon
    All-in-One Payroll and HR Platform

    For small and mid-sized businesses that need a comprehensive payroll and HR solution with personalized support

    We design our technology to make workforce management easier. APS offers core HR, payroll, benefits administration, attendance, recruiting, employee onboarding, and more.
    Learn More
  • 1
    ngspice
    Ngspice project aims to improve the spice3f5 circuit simulator.
    Leader badge
    Downloads: 1,812 This Week
    Last Update:
    See Project
  • 2
    Printed Circuit Board Layout Tool
    PCB is a tool for the layout of printed circuit boards. PCB can produce industry standard RS-274X and Excellon NC-Drill format output for submission to board manufacturers.
    Leader badge
    Downloads: 80 This Week
    Last Update:
    See Project
  • 3
    XSCHEM

    XSCHEM

    Schematic circuit editor for VLSI and Mixed mode circuit simulation.

    Xschem is a schematic capture program, it allows to create a hierarchical representation of circuits with a top down approach . By focusing on interconnections, hierarchy and properties a complex system (IC) can be described in terms of simpler building blocks. A VHDL, Verilog or Spice netlist can be generated from the drawn schematic, allowing the simulation of the circuit. Key feature of the program is its drawing engine written in C and using directly the Xlib drawing primitives; this...
    Downloads: 22 This Week
    Last Update:
    See Project
  • 4
    A package for transient and steady state simulation of organic solar cells.
    Downloads: 16 This Week
    Last Update:
    See Project
  • Nectar: Employee Recognition Software to Build Great Culture Icon
    Nectar: Employee Recognition Software to Build Great Culture

    Nectar is an employee recognition software built for the modern workforce.

    Our 360 recognition & rewards platform enables everyone (peer to peer & manager to employees alike) to send meaningful recognition rooted in core values. Nectar has the most extensive rewards catalog so users can choose from company branded swag, Amazon products, gift cards or custom reward types. Integrate with your other tools like Slack and Teams to make sending recognition easy. We support top organizations like MLB, SHRM, Redfin, Heineken and more.
    Learn More
  • 5
    UMHDL

    UMHDL

    Integrated Development Environment (IDE) for learning HDL

    UMHDL is an educational Integrated Development Environment (IDE) intended for learning digital designing with programmable logic devices using Hardware Description Languages (HDL) through simulation. It is an open-source application created at the Miguel Hernández University (UMH). The aim for the UMHDL development was to have a graphical application that allows learning the VHDL language without licensing restrictions (using some existing open-source tools) and requiring few resources. So,...
    Downloads: 9 This Week
    Last Update:
    See Project
  • 6
    VTracer is a Verilog Testbench developer aid. Contains well documented Verilog-Perl co-simulation environment (TCP sockets based), structural Verilog parser, demo Testbenches.
    Downloads: 1 This Week
    Last Update:
    See Project
  • 7
    wxArt2D gives wxWindows applications sophisticated vector drawing functionality. It is based on a framework supporting multiple views within a hierarchical document. Supports drawing & (Graph) editing. In-output in SVG, GDSII, XML, easy to extend.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 8
    NetworkDesigner does the design of various impedance matching networks. The designs are useful for both solid state designs as well as vacuum tube/valve circuits. In the newest version, the filter design functionality has been split off and will appear as a separate app. This makes for a cleaner user interface, The latest version was made from the FOX toolkit, and it is included in the package. This is the latest stable version of FOX.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 9
    FXtransformer Designer is a graphical design aid for both power and RF transformers. RF Design: Broadband, Single-Tuned, Double-Tuned.
    Downloads: 0 This Week
    Last Update:
    See Project
  • RMM Software | Remote Monitoring Platform and Tools Icon
    RMM Software | Remote Monitoring Platform and Tools

    Best-in-class automation, scalability, and single-pane IT management.

    Don’t settle when it comes to managing your clients’ IT infrastructure. Exceed their expectations with ConnectWise RMM, our MSP RMM software that provides proactive tools and NOC services—regardless of device environment. With the number of new vulnerabilities rising each year, smart patching procedures have never been more important. We automatically test and deploy patches when they are viable and restrict patches that are harmful. Get better protection for clients while you spend less time managing endpoints and more time growing your business. It’s tough to locate, afford, and retain quality talent. In fact, 81% of IT leaders say it’s hard to find the recruits they need. Add ConnectWise RMM, NOC services and get the expertise and problem resolution you need to become the advisor your clients demand—without adding headcount.
    Learn More
  • 10
    A portable loudspeaker design system supporting measurement, modeling, simulation and optimization of boxes, filters and systems.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 11

    System Verilog Parser IEEE 1800 LRM

    IEEE LRM compliant System Verilog Parser in Java with Python, Tcl API

    ... that they will be able to extract every bit of design information from the parsed database. The source code of that application can be shared upon request. You need JRE 1.6.x or above in order to use this parser. Please refer to the document for the detail of the available APIs.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 12

    Free VHDL Parser with Java, Python and T

    IEEE VHDL-93 LRM supported parser implemented in Java, APIs Python/Tcl

    ... bundled as an executable JAR file along with an application which reads a RTL file(s), dumps the design units and the reverts those back. Please refer to the document for the details of the available APIs. You need Java JRE 1.6.x or above in order to use this utility. Feel free to contact the support team for any assistance.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 13
    GLogic

    GLogic

    A logic gate simulator for linux developed with Gtk and python.

    GLogic is a logic gate simulator for linux and an adaptation of the gLogic package....
    Downloads: 1 This Week
    Last Update:
    See Project
  • 14
    eLogSim

    eLogSim

    Digital circuit simulator

    eLogSim is an event driven, 4-level (0,X,1,Z) digital circuit simulator. It uses a test oriented stimulus approach and offers a statistical (or exhaustive if it makes sense) fault simulation option. eLogSim has a simple GUI and is pre-compiled for Ubuntu 20, Mint 20, CentOS 8, openSUSE 15, FreeBSD 12, Solaris 11, Windows 10/11 & Raspbian/Raspberry PiOS Buster (32/64bit) & Ubuntu-MATE 20.04 (64 bit) operating systems. Cross platform & -network, concurrent fault simulation now available...
    Downloads: 3 This Week
    Last Update:
    See Project
  • 15
    Transistor

    Transistor

    Exploiting Mox Software "Bipolar Transistors" database

    It requires db.sqlite database and images folder containing transistor's implementation pin Bipolar Transistor Database from Mox Software is not available anymore. As on many download websites it was mentioned as open sources (but no source available) I decided to rebuild if almost from scratch. As a transistor database may be useful i decide to share what I've done. It has been written in Purebasic because IDE is free till 800 lines of code written, and mainly because it's very...
    Downloads: 4 This Week
    Last Update:
    See Project
  • 16

    yad2xx

    Yet Another JNI-D2XX Interface Project

    A Java Native Interface (JNI) library suitable for communicating with a range of USB interface chips from FTDI via the D2XX driver. It currently supports OS X 10.10+ and Windows 7/8 x64. On OS X, the 64 bit JVM is supported. On Windows, support is limited to the 64 bit JVM (Java 1.8 is now 64 bit). Version 1.0 --------------------------------- - Java 8 - SPI support and sample (via MPSSE)
    Downloads: 3 This Week
    Last Update:
    See Project
  • 17
    vrq is verilog parser that supports plugin tools to process verilog. Current plugins include tools to perform x-propagation and to auto build hiearchy.
    Downloads: 5 This Week
    Last Update:
    See Project
  • 18

    megatest

    Run tasks/tests, get trustworthy pass/fail info rolled up

    Distributed test running system. build for running simulations, quality assurance or similar where you need to run a large number of tests. Supports dependencies, iteration, disk space management and log file analysis.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 19
    Project 2306 IDE Rad MacOS MCU DeveR

    Project 2306 IDE Rad MacOS MCU DeveR

    Electronic design and programming tools suite like Eagle, MpLab

    Currently Only MacOS is Present, PreAlpha means not Ready to use, Application is provided Without Strict Garantee, License not OSI. All others platform Windows, Linux, HaikuOS STILL under TEST, Dummy "Hello world" is provided instead Project2306 IDE : Application pour la programmation de Microcontroleurs et d' Application Electronique Project2306 IDE : for All whom want to Create and Develop on Embed Platform Software as Programming Tools suite and PCB Design Planned...
    Downloads: 1 This Week
    Last Update:
    See Project
  • 20

    Circuit simulator SPECI-SPICE

    Circuit simulators like SPICE for low memory computers

    SPECI-SPICE is a subset of SPICE intended to run on low powered computers like Amstrad CPC, ZX Spectrum, CP/M machines, old PC machines, some programmable calculators,etc. by keeping most important functionality of SPICE 2.
    Downloads: 1 This Week
    Last Update:
    See Project
  • 21
    Qfsm

    Qfsm

    A graphical Finite State Machine (FSM) designer.

    A graphical tool for designing finite state machines and exporting them to Hardware Description Languages, such as VHDL, AHDL, Verilog, or Ragel/SMC files for C, C++, Objective-C, Java, Python, PHP, Perl, Lua code generation.
    Leader badge
    Downloads: 55 This Week
    Last Update:
    See Project
  • 22
    FSMDesigner
    FSMDesigner is a C++ based implementation for a Finite State Machine (FSM) design tool with integrated Hardware Description Language (HDL) generation. FSMDesigner4 uses the Simple-Moore FSM model guaranteeing efficient fast complex control circuits.
    Downloads: 3 This Week
    Last Update:
    See Project
  • 23
    Downloads: 0 This Week
    Last Update:
    See Project
  • 24
    sigrok
    The sigrok project aims at creating a portable, cross-platform, Free/Libre/Open-Source signal analysis software suite that supports various device types, such as logic analyzers, MSOs, oscilloscopes, multimeters, LCR meters, sound level meters, thermometers, anemometers, light meters, dataloggers, function generators, power supplies, GPIB interfaces, and more.
    Downloads: 3 This Week
    Last Update:
    See Project
  • 25

    iRFIDreader

    RFID data reader for Mac OSX

    iRFIDreader is a RFID data reader for Mac OSX. It helps you find your connected serial RFID devices using a wizard and displays device output in a terminal window.
    Downloads: 0 This Week
    Last Update:
    See Project
  • Previous
  • You're on page 1
  • 2
  • 3
  • 4
  • 5
  • Next