Showing 705 open source projects for "electronic design"

View related business solutions
  • Run applications fast and securely in a fully managed environment Icon
    Run applications fast and securely in a fully managed environment

    Cloud Run is a fully-managed compute platform that lets you run your code in a container directly on top of scalable infrastructure.

    Run frontend and backend services, batch jobs, deploy websites and applications, and queue processing workloads without the need to manage infrastructure.
    Try for free
  • The Easy Way To Build A Referral Program Icon
    The Easy Way To Build A Referral Program

    Referral Factory is the #1 referral software used by SMEs and Marketers.

    Referral Factory offers over 1000 pre-built referral program templates you can use as your own, or you can build your own referral program from scratch. You get unlimited referral campaigns on all plans, and brilliant support from their team of referral marketing experts.
    Learn More
  • 1
    LibrePCB

    LibrePCB

    A powerful, innovative and intuitive EDA suite for everyone

    LibrePCB is a free, cross-platform, easy-to-use electronic design automation suite to draw schematics and design printed circuit boards – for makers, students, and professionals, from beginners to experts. LibrePCB is developed with portability in mind to make it run on virtually any computer – including Windows, Linux, and macOS. It’s available in 22 different languages, and it doesn’t cost any money.
    Downloads: 3 This Week
    Last Update:
    See Project
  • 2

    KiCad-AppImage

    Repository for KiCad AppImage

    This project hosts the KiCad AppImages built using pkg2appimage. Please visit https://github.com/CyberCircuits/kicad-appimage for details. If it complains about missing graphics-related libraries please install them separately. Fedora: sudo dnf install mesa-libGLU Debian/Ubuntu: sudo apt install libglu1-mesa
    Downloads: 80 This Week
    Last Update:
    See Project
  • 3
    KiCad EDA Portable

    KiCad EDA Portable

    KiCad EDA Suite in PortableApps.com format

    KiCad Portable is the Open-Source Electronic Design Automation Suite that facilitates the design of schematics for electronic circuits and their conversion to PCB designs packed as a portable app so you can do your CAD design on the go. It has all the same features as KiCad, plus, it leaves no personal information behind on the machine you run it on, so you can take it with you wherever you go.
    Downloads: 14 This Week
    Last Update:
    See Project
  • 4
    GNU SPICE GUI provides a GUI front-end for various freely available electronic circuit simulation engines ie. NG-SPICE and GNU-CAP. It's core function is to generate simulation engine instructions based on user input. However, it also offers extra functionality via applications and utilities developed by others. Electronic Design Automation (EDA) tool suites are used to provide schematic capture and editing, and schematic to netlist conversion.
    Leader badge
    Downloads: 19 This Week
    Last Update:
    See Project
  • No-Nonsense Code-to-Cloud Security for Devs | Aikido Icon
    No-Nonsense Code-to-Cloud Security for Devs | Aikido

    Connect your GitHub, GitLab, Bitbucket or Azure DevOps account to start scanning your repos for free.

    Aikido provides a unified security platform for developers, combining 12 powerful scans like SAST, DAST, and CSPM. AI-driven AutoFix and AutoTriage streamline vulnerability management, while runtime protection blocks attacks.
    Learn More
  • 5

    Free Parsers for Liberty UPF SDC VCD

    Free Liberty, UPF, SDC and VCD Parsers with Python, Java and Tcl APIs

    Downloads: 0 This Week
    Last Update:
    See Project
  • 6
    KiCad EDA

    KiCad EDA

    A Cross Platform and Open Source Electronics Design Automation Suite

    Leader badge
    Downloads: 139 This Week
    Last Update:
    See Project
  • 7
    OpenSign

    OpenSign

    🔥 The free & Open Source DocuSign alternative

    The premier open source document signing solution (DocuSign alternative). Welcome to OpenSign, the premier open source docusign alternative - document e-signing solution designed to provide a secure, reliable and free alternative to commercial esign platforms like DocuSign, PandaDoc, SignNow, Adobe Sign, Smartwaiver, SignRequest, HelloSign & Zoho sign. Our mission is to democratize the document signing process, making it accessible and straightforward for everyone.
    Downloads: 3 This Week
    Last Update:
    See Project
  • 8
    PEDA is electronic design automation software for schematic and PCB design with unique tools selection stack for manual routing and unified PCB and schematic database developed in Qt5 library.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 9
    SPI via FTDI

    SPI via FTDI

    Control electronic devices using most popular protocols.

    Application allows make connection to electronic devices using popular interfaces (SPI, I2C, 1-Wire, uWire, UART) and control them trough FTDI ICs (FT2232D, FT2232H, FT4232H, FT232H etc). The title was formed historically and no longer reflects the functionality of the app. This software works in Windows 7-11 with .NET 4.5.
    Downloads: 13 This Week
    Last Update:
    See Project
  • Sharpen your strategy for identifying global business prospects Icon
    Sharpen your strategy for identifying global business prospects

    For sales teams that want to accelerate B2B sales with better data

    Create a faster path from prospect to profitable relationship with D&B Hoovers. Find key sales prospects and opportunities for growth. D&B Hoovers is a sales acceleration solution that provides a faster path from prospect to profitable relationship by leveraging data and analytics. B2B sales professionals can engage faster with customers to grow their business. Access comprehensive intelligence on more than 120 million business records. Deliver intelligence and insight into the tools where your sellers and marketers work every day. Intuitive interface and automated workflow features including triggers, alerts, smart lists and conceptual search. D&B Hoovers combines the world’s largest commercial database from Dun & Bradstreet with sophisticated analytics to deliver a sales intelligence solution packed with insight. D&B Hoovers offers dynamic search and list-building capabilities, realtime trigger alerts, comprehensive company profiles, and valuable research reports.
    Learn More
  • 10
    Open Schematic Capture
    This project provides a analog / mixed signal IC schematic capture and layout tool with the accompanying netlisters, simulators, and verification tools.
    Leader badge
    Downloads: 5 This Week
    Last Update:
    See Project
  • 11
    ngspice
    Ngspice project aims to improve the spice3f5 circuit simulator.
    Leader badge
    Downloads: 1,428 This Week
    Last Update:
    See Project
  • 12

    EDAUtils Converters

    Free converters across IP-XACT Verilog VHDL Liberty SystemC

    verilog2vhdl : Tool to convert Verilog into VHDL by keeping the same structure and function for ease of correlation. vhdl2verilog : Tool to convert VHDL into Verilog by keeping the same structure and function for ease of correlation verilog2ipxact :Tool to create IP-XACT Component or Design from a Verilog Module. ipxact2verilog : Tool to convert IP-XACT into Verilog module ipxactinterface2svinterface : Converts IP-XACT Bus Definition / BusInterface into System Verilog...
    Downloads: 21 This Week
    Last Update:
    See Project
  • 13
    gpsim - The gnupic Simulator
    gpsim is an open sourced simulator for Microchip's PIC microcontrollers. It supports all three families of PICs: 12-bit, 14-bit, and 16-bit cores. See also gputils http://gputils.sourceforge.net/
    Leader badge
    Downloads: 50 This Week
    Last Update:
    See Project
  • 14
    Quite Universal Circuit Simulator

    Quite Universal Circuit Simulator

    A circuit simulator with graphical user interface (GUI)

    Qucs is a circuit simulator with graphical user interface. The software aims to support all kinds of circuit simulation types, e.g. DC, AC, S-parameter, Transient, Noise and Harmonic Balance analysis. Pure digital simulations are also supported.
    Leader badge
    Downloads: 676 This Week
    Last Update:
    See Project
  • 15
    TimingEditor

    TimingEditor

    TimingEditor is a tool to graphically draw and edit timing diagrams.

    TimingEditor is a tool to graphically draw and edit timing diagrams.
    Downloads: 27 This Week
    Last Update:
    See Project
  • 16
    CircuiTikZ Generator

    CircuiTikZ Generator

    This software is a tool for designing electronic circuits using LaTeX.

    This software is a tool for designing electronic circuits using LaTeX. With an intuitive graphical interface, you can create complex circuits quickly and easily, while the LaTeX code generator translates your designs into code compatible with the LaTeX circuitikz library.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 17
    An assembler for the Xilinx Picoblaze soft processor.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 18
    eavref

    eavref

    A tool for low-power CMOS voltage reference designs

    EAVREF is a computer-aided tool for robustly designing ultra-low-power CMOS voltage references. The tool is compatible with the powerful Ngspice simulator, enabling open-source microelectronics design flow with SkyWater 130nm Technology.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 19

    System Verilog Parser IEEE 1800 LRM

    IEEE LRM compliant System Verilog Parser in Java with Python, Tcl API

    This parser has been developed to help users to implement their Verilog tool/utility on the top this library. It reads RTL and populates its internal data structures. There are APIs to extract the design information from the database, there are APIs to elaborate every element of the design along with basic expression evaluation capabilities. It has been bundled as an executable JAR file along with a sample application which reads a RTL file(s), elaborates and dumps it back to show the...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 20
    PICSimLab - Prog. IC Simulator Lab.

    PICSimLab - Prog. IC Simulator Lab.

    PICSimLab is a realtime emulator for PIC, Arduino, STM32, ESP32, ...

    PICSimLab is a realtime emulator of development boards with MPLABX/avr-gdb debugger integration. PICSimLab supports microcontrollers from picsim, simavr, uCsim, qemu-stm32, qemu-esp32, and gpsim. PICSimLab has integration with MPLABX/Arduino IDE for programming the microcontroller's boards. As the purpose of PICSimLab is to emulate real hardware it does not have any source code editing support. For code editing and debugging the same tools used for a real board should be used with PICSimLab,...
    Leader badge
    Downloads: 441 This Week
    Last Update:
    See Project
  • 21
    XSCHEM

    XSCHEM

    Schematic circuit editor for VLSI and Mixed mode circuit simulation.

    Xschem is a schematic capture program, it allows to create a hierarchical representation of circuits with a top down approach . By focusing on interconnections, hierarchy and properties a complex system (IC) can be described in terms of simpler building blocks. A VHDL, Verilog or Spice netlist can be generated from the drawn schematic, allowing the simulation of the circuit. Key feature of the program is its drawing engine written in C and using directly the Xlib drawing primitives; this...
    Downloads: 24 This Week
    Last Update:
    See Project
  • 22
    Open-source interpreted Verilog simulator with a feature set and performance similar to Verilog-XL. Implements all IEEE 1364-1995 features along with some Verilog-2001 features. Full support for Verilog PLIs.
    Leader badge
    Downloads: 4 This Week
    Last Update:
    See Project
  • 23

    Free VHDL Parser with Java, Python and T

    IEEE VHDL-93 LRM supported parser implemented in Java, APIs Python/Tcl

    This parser has been developed for those who wants to develop his/her own tool around VHDL RTL. Only synthesizable subset of VHDL is supported and it may not work for machine/tool generated VHDL files. This parser has been developed in Java in order to make it platform independent. It reads RTL and populates its internal object model. There are APIs to extract the design information from the database, APIs to elaborate the design along with expression evaluation capabilities. This tool has...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 24
    VeroRoute

    VeroRoute

    Qt based Veroboard, Perfboard, and PCB layout and routing application

    Cross-platform software for producing Veroboard (stripboard), Perfboard, and 1-layer or 2-layer PCB layouts. Automatically prevents short-circuits and checks for open-circuits. Pre-compiled versions available for MS Windows and 64-bit Linux Mint 20.3 (should also run on other 64-bit Linux systems that are based on Debian and support Qt version >= 5.12.8). Android APK available (tested on Android 7 and Android 10) and requires device resolution of at least 1280x800.
    Leader badge
    Downloads: 150 This Week
    Last Update:
    See Project
  • 25
    PyAMS

    PyAMS

    PyAMS (Python for Analog and Mixed Signals) CAD approach

    PyAMS (Python for Analog and Mixed Signals) is used to simplify modeling analog elements and simulate electronic circuit The objectives of PyAMS is: - Drawing circuit by schematic (CAD approach); - Simulating the circuit; - Creating new symbols for models; - Creating new models of electrical elements by using Python language with pyams_lib; - Presenting simulation results in waveform or in probe; - PyAMS is developed with Python 3+, ElectronJS and NodeJs - Licensed under:...
    Downloads: 0 This Week
    Last Update:
    See Project
  • Previous
  • You're on page 1
  • 2
  • 3
  • 4
  • 5
  • Next